智能应用 影音
从Google查找趋势看三大AI技术浪潮
Google查找趋势(Google Trend)是个好用的工具,有时我会透过查找热度变化情况,观察某个议题的发展。这次我键入AI技术典范转移的3个字词「Expert System」、「Deep Learning」、「Generative AI」,看看会呈现出哪些结果?若以Google Trend最早能提供查询数据的时间2004年作为起始时间,「Expert System」的查找热度一路往下,而「Deep Learning」则从2013年起查找热度开始走扬,并于2014年与「Expert System」出现走势交叉的情况。Expert System是早期真正商用化的AI技术,属于规则式学习(rule-based learning)。其组成包括知识库、推论引擎和用户界面等3部分,透过大量请教专家,采用if-then-else的结构将专家知识和经验建成知识库,推论引擎则根据知识库中的规则和推论机制来推论和决策,用户界面则是如同ChatGPT,可用问答方式来获取专家系统推论的答案。Expert System热潮在80年代,因人类诸多内隐知识难以表达与形成规则,及规则式学习建立与维护数据库的复杂度随时间持续提高,专业领域专家系统(如医疗、土木等)逐渐式微,而一般企业管理用途的规则系统,逐渐被整合至如甲骨文(Oracle)与SAP等业者的企业应用软件中。2012年多伦多大学教授Geoffrey Hinton与其2位博士班学生Alex Krizhevsky、Ilya Sutskever发表〈ImageNet Classification with Deep Convolutional Networks〉此一论文,带动Deep Learning兴起。机器视觉领域有个2010年由李菲菲发起的奥林匹克级学术竞赛(ImageNet Large Scale Visual Recognition Challenge;ILSVRC),前2年优胜团队都是采用传统的机器视觉技术,Krizhevsky、Sutskever与Hinton的CNN神经网络模型AlexNet,在2012年竞赛「top 5 test error rate」指标中,创下竞赛以来的最佳成绩15.4%,领先第二名的26.2%近11个百分点,从此Deep Learning跃为机器视觉领域主流。当2015年微软(Microsoft)的ResNet以错误率3.6%胜过人类肉眼的5%错误率时,包括智能交通、人脸识别、瑕疵检测等多元市场商机随之起飞,也反应在从2013年迄今「Deep Learning」查找热度上。相较于「Deep Learning」为既有数据进行分类与分群等分辨工作(如人脸识别),「Generative AI」则是学习输入数据的模式和结构,其后根据训练数据的分布,生成相似但全新的数据。2014年的Ian Goodfellow提出的生成式对抗网络(Generative Adversarial Network;GAN),可说是带动生成式AI发展的里程碑。接下来这几年查找热度微幅上扬,生成式AI主要是在专业族群中愈来愈受关注,直到2022年11月ChatGPT的横空出世,引发媒体与社会大众的关注与使用,查找热度才急遽攀升。若直接比较ChatGPT与Expert System及Deep Learning,更可看到由于ChatGPT的爆炸性查找热度,相对而言,Expert System及Deep Learning的查找热度已被摊平成贴近水平的直线。Expert System之父Edward Feigenbaum在2007年接受美国电脑历史博物馆(The Computer History Museum)口述历史访谈,在被问及「我们如何赋予电脑知识?」时,他回答「我想唯一的方法就是依循人类文明现有的方式。我们藉由文本这个文化结晶来传递知识。过去是手稿,接着是印刷文字,现在则是电子文本……我们需要想办法让电脑读化学书来学化学,读物理书来学物理,或者是生物学等其他学科……我们的人工智能程序是手工制作并以知识建造的,除非我们有办法设计出能够阅读、理解文本并从中学习的程序,否则我们将永远无法突破。」(引用自阳明交大《数理人文》期刊第10期,〈人工智能专家系统之父—专访涂林奖得主费根堡之生涯回顾〉一文)Feigenbaum那时并未料到,Google的BERT与Open AI的GPT等近年发展的LLM在训练文本上的惊人数量级提升。以Open AI来说,从2018年GPT-1的5GB训练数据量/1.1亿个参数,提高到2020年GPT-3的45TB训练数据量/1,750亿个参数。之后Open AI便不再公布训练数据量,但最新发布的GPT-4估计可能超过1万亿个参数。带来的突破性成果正在为全世界各个领域的人们所尝试与运用中。Deep Learning的2位关键开创者中,Ilya Sutskever是OpenAI的联合创始人及首席科学家,持续推进最前沿AI技术的发展,但近日Geoffrey Hinton却离开Google,且呼吁人工智能给人类带来的威胁可能比气候变迁更急迫,而曾是Open AI共同创办者的Elon Musk也大声疾呼暂停开发和测试比GPT-4更强大的语言模型。我不禁想问如今已87岁高龄的Feigenbaum,身为自然语言处理AI宗师的您,当强AI时代愈来愈近时,人类该如何踏出下一步呢? 
云端服务大势所趋 带动台厂服务器长期商机
2023年第1季电子产业上下游仍处库存调节阶段,在营收表现上相较2022年同期多呈停滞甚至衰退。然而,大型(Hyperscaler)云端服务业者营运表现相对仍佳,加上生成式人工智能(Generative AI)带动的新应用热度正夯,后续成长动能值得关注。2023年第1季亚马逊(Amazon)AWS营收达213.5亿美元,年增16%;微软(Microsoft)Azure营收年增27%,另外Google Cloud Platform(GCP)营收年增率亦达28.5%。上述3家业者占公有云端服务市场比重约65%,维持强者续强的态势。 回顾过去5年公有云端服务市场成长情况,年复合成长率超过20%,AWS约占全球IaaS、PaaS及代管私有云(Hosted Private Cloud)服务市场的3分之1,维持第一大地位。AWS近期表示已对全球超过10万个客户提供人工智能/机器学习(AI/ML)服务,相对于亚马逊非云端业务,近几年AWS营收年成长率高出15~20个百分点、营业利益率也高出20个百分点以上,是亚马逊整体版图扩张及获利成长的主要动力所在。微软云端相关(包括Azure、Microsoft 365等)营收比重亦大幅提高至占整体公司营收约45%,企业策略定位明显转向云端服务,在云端服务市场占有率亦逐步攀升,居全球第二大地位。随着微软生成式AI服务将导入旗下各个产品上,对云端业务推广预期将有推波助澜的效果。展望2023年,受全球经济景气动能减缓影响,云端服务市场成长动能确实不及2022年,如AWS营收年成长率在2022年第4季时为20%,2023年第1季减少至16%,预估2023年第2季时成长率再减至12%。不过,以中长期而言,云端服务市场的长期发展仍应正面看待。由于企业IT采云端方式进行可带来弹性,资产投报率将会较佳,IT支出朝向云端转移的趋势不大可能逆转,加上AWS表示目前全球IT支出仅10%是在云端进行,后续云端服务市场的发展空间仍相当庞大。为因应云端服务市场成长趋势,云端资本支出势必连带成长。以2023年第1季为例,微软、Meta的资本支出年增率分别约24%、28%,2023全年亚马逊的资本支出预算虽预估将较2022年减少,但AWS的部分则可望继续成长。台湾服务器厂商的客户组成,来自于惠普(HP)、戴尔(Dell)、浪潮等服务器品牌业者比重已退居第二,云端服务业者则已跃居首位,且后者比重近年来持续攀升。因此,云端服务业者的服务器订单,对台厂重要性日增。台湾与云端服务相关的产业主要包括晶圆代工、IC载板、高速传输界面、服务器组装以及电源供应器、机柜等次产业。以最直接相关的服务器业者而言,台湾占全球服务器生产即超过93%。短期方面,根据DIGITIMES Research的调查,由于客户调整订单等因素,第1季全球服务器出货量较2022年第4季衰退,跌破400万台(以主机板计算);2023年第2季因第1季基期较低,及品牌商新平台可望优先放量,预期出货将有低个位数季增。展望未来,云端服务市场成长趋势未变,在生成式AI引发的新浪潮下,高单价的AI服务器出货量成长可期,尽管占比有限。关于最新服务器产业的分析及预测,请参考DIGITIMES Research服务器产销调查报告。延伸报导产销调查:1Q23全球服务器出货跌破400万台大关 2Q23出货将仅季增3.9% ChatGPT效应有限
铜混合键合的发展与应用(三):未来应用
混合键合技术的新应用中,最引人注目的当属高效能计算(High Performance Computing;HPC)。HPC在晶圆代工的产能中占据最显着的份量。HPC架构主体主要含处理器和存储器。处理器通常以最先进的逻辑制程制造,但是存储器(DRAM)的制程进展较逻辑制程缓慢,这个就产生落差。两者之间沟通落差限制整体表现,而且制程也截然不同,属于「异质」。延伸报导先进封装技术竞逐略有起伏 HPC导入热度高于手机AP解决两者之间效能落差的方法之一是利用平行处理。现在的处理器多具有双位数数量的核(cores),每个个核需要支持其运作的个别存储器。数量如此多的核-存储器之间的连线需要多个I/O接点以及高频寛,这就是十年前开始出现高频寛存储器(High Bandwidth Memory;HBM)需求的驱动原因。HBM是用2.5D封装技术将CPU与至多8个DRAM堆叠封装,其处理器与存储器之间的连接是透过芯片的微键(microbond)连接底下中介层的金属线至另外的芯片,如此一来I/O与连线的密度都可以大幅增加。对于常用于AI常用的GPU芯片,其核的功能比较专一,所以每个核的面积较小,一个芯片里核的数目动辄上千。每个核所需要对应存储器容量不需要很大,但是因为核与存储器的数目有数量级的提升,连线及I/O的数目要求更高,此时铜混合键合就能提供其所需要的效能。这个应用也是目前多家代工厂、DRAM厂的技术及业务能力扩展方向。2022年3月Graphcore发布于台积电造的Bow IPU号称是世界第一个3D WoW处理器,利用到的是混合键合的另一种优势。2片晶圆一边是AI处理器及其协作的存储器,主要包括1,472个IPU(Intelligent Processor Unit,Graphcore为其处理器的命名)以及与各IPU协作的独立900MB的分散式SRAM;另一个芯片负责提供电源。如此结构设计,Graphcore宣称可以提升效能40%以及节省功耗16%。超微(AMD)最近的Ryzen系列也因为不同的原因采取混合键合技术,虽然使用的是CoW的技术,而非WoW。超微将CPU中面积较大的L3 cache单独拿出并增容量、单独生产,在不增加CPU系统面积的情况下,增加可用的SRAM容量,减少一般信息处理必须传送到DRAM的需求,因而提升速度、减少功耗。延伸报导铜混合键合的发展与应用(二):商业化应用其他混合键合的应用现在可预见的还包括无线通讯、AIoT、PMIC等。在混合键合的制造成本下降后,应用领域还有可能延拓的更广泛。从芯片异质整合、效能提升、减少功耗、缩小面积等的几个优点考量,只要混合键合的成本下降至各优点的价值临界点后,技术的采用将会一一浮现。学习已经商业化的、正在酝酿中的应用并且分析其得失,是寻找新应用的 必要学习过程。 
铜混合键合的发展与应用(二):商业化应用
混合键合的最大特色是芯片对外连接金属垫(metal pad)的尺度是「半导体制程级」的。相较于之前用于中介板的微凸块(microbump)间距40um,混合键合的键合间距可以小达1~2um,限制尺寸的原因主要来自于对齐的精确程度,还有进一步改善的空间。这样的键合间距代表每平方厘米芯片面积可以承担百万个连结,这比任何既存的封装方式都有几个数量级的提升。连线键合数目愈多意味着2个芯片之间容许更高带宽的沟通,有利于平行运算,也容许较高电流。功能模块之间的连线也较寻常方式为短,所以速度快、噪音低、功耗也较小。另外混合键合本来就是异质整合、3D堆叠先进封装中的一种方法,所以二者的优点也自然都有。商业应用混合键合的半导体产品,首先是 Sony的CIS。CIS有几个组成部分:像素阵列(pixel array)、类比数码转换器(Analog-to-Digital Converter;ADC)、影像信号处理器(Image Signal Processor;ISP)。像素阵列基本上是1层多晶矽(polysilicon)与5层金属的制程;ADC与ISP则是1层多晶矽与10层金属的制程,二者的制程差距甚远,符合「异质」特徵,应该分别制造。二者的3D芯片堆叠还能缩小镜头尺寸,所以Sony早在2016年就将分别制造的像素阵列晶圆与ADC+ISP晶圆混合键合,替代原来在同一芯片的设计制造。由于混合键合大幅增加金属连线密度,使得ADC可以平行处理像素,大幅提升画面处理的能力,譬如全域快门(global shutter)、影片的每秒帧数(frame per second)等。目前的设计趋势是向每个像素都有独立的ADC方向迈进。进一步的工作是将DRAM也加入CIS的3D堆叠,做为像素处理的缓冲存储器(buffer memory)。Sony和三星电子(Samsung Electronics)都有此设计,只是DRAM堆叠位置不一。影像在车辆的应用,譬如用来侦测前方物件距离的时差测距(Time of Flight;ToF)的单光子雪崩探测器(Single Photon Avalanche Detector;SPAD);或在工业的应用,譬如机器视觉(machine vision),都可能需要再加入能执行边缘计算(edge computing)芯片。CIS启动混合键合的商业应用,历史较长,较长远的应用规划也渐入视野。另外一个也进入商业量产的应用是3D NAND。平面NAND的存储器细胞阵列(memory cell array)与其他逻辑线路-包括微控制器(microcontroller)、位址寄存器(address register)等,是放在同一芯片上的。3D NAND 的存储器细胞阵列持续往3D方向堆叠,但是逻辑线路上方却空无一物,严重浪费珍贵的芯片房地产(real estate)。所以长江储存首先以XtackingTM技术将逻辑线路部分以混合金键合方式置于存储器细胞阵列之下,大幅提高芯片房地产使用效率。其他公司后来也采取类似方法。不过在此例中,金属垫的密度不需要特别的高。
铜混合键合的发展与应用(一):技术轮廓
先进封装大概可以分为两大类趋势:一个是小芯片(chiplet)。小芯片将传统上较大型的积体线路分拆成许多较小的功能模块,先个别予以优化。再使用这些已优化的小芯片组织新的次系统。这样可以重复使用IP,大幅加速产品设计的速度以及降低设计成本。至于各个小芯片之间的连接,倚靠底下仲介层(interposer)内的金属连线。此连线的密度当然远高于传统的线路板或封装I/O所能支持的密度,大幅增加线路运作频寛(bandwidth)、增大平行运算的操作空间。另一个方向自然是异质整合(heterogeneous integration)。将不同制程或不同材料的芯片堆叠在一起,以整合方式提升、扩充组装元件的功能。除了已经商业化的方法外,基本上有芯片-晶圆(Chip-on-Wafer;CoW)及晶圆-晶圆(Wafer-on-Wafer;WoW)等2种键合型态。二者在键合后都需要再切割晶粒,但是也有例外。CoW程序较复杂,所以WoW可能早些普及。晶圆间键合的技术又有很多种,现在已经进入商业化的技术之一是「铜-铜混合键合」(Cu-Cu hybrid bonding),这也是本文讨论的主题。铜-铜混合键合技术是将2片欲键合在一起的晶圆,各自完成制程最后一步的金属连线层,此层上只有2种材质:铜及介电质。介电质可以是氧化矽或高分子材料,二者各有优缺点,使用何种物质依制程需要而定。由于晶圆键合时牵涉到铜及介电质两种材料界面,所以称之为混合键合。2片晶圆面对面键合时是铜金属对铜金属、介电值对介电质,两边键合界面的形状、位置完全相同,晶粒大小形状也必须一样。所以使用混合键合先进封装技术的次系统产品各成分元件必须从产品设计、线路设计时就开始共同协作。混合键合制程约略如下:两边晶圆在完成最上层之金属制程后,经化学机械研磨(Chemical-Mechanical Polishing;CMP)及清洗后,2片晶圆面对面对齐(alignment)。介电质先经离子活化(ion activation),两边介电质接触后产生共价键。两边铜的表面原先较介电质稍低,在退火(annealing)时因膨胀系数较介电质为大而增高接合,两边铜离子因相互扩散(diffusion)进入对方而形成密切的永久性接合。晶圆平坦化(planarization)不足、残留粒子、对齐误差及金属界面孔隙(void)等均有可能影响元件特性或失效。目前混合键合机台已有多家设备厂商投入量产。如EVG、SUSS MicroTech、TEL、AML等,典型机台如EVG的Gimini系列。由于现代设备厂商在销售机台时多附有机台相关之基础制程,混合键合制程的开发通常不算是严峻的挑战。目前铜混合键合的封装制程良率已经可以到达一般后段封装的典型良率99%以上。一部分原因是于此技术的累积发展与已经商业化的机台设备同步,但是更重要的原因是两边芯片的设计团队期前的设计沟通,在重复单元区留下适度的冗余(redundancy),当键合时发生缺陷时,有足够的空间来腾挪。
AI时代创意如何养成?
最近有人问我,在ChatGPT时代下的创意如何养成?经我询问ChatGPT后,我过去的想法和ChatGPT的答案是一致的(虽然ChatGPT的措辞变来变去)。在「鸡尾酒」(Cocktail)这部1988年的电影,Tom Cruise饰演一位在职进修的酒保,到一家商学院学习如何创业,最后放弃进修,并向一位资深酒保说明放弃进修的原因:「教授上课都在胡扯(Bullshit)」这位资深酒保笑着回应:「你知道教授只会胡扯,就有资格毕业啦。」这位老酒保意思是说,教授没有实战成功经验,却在课堂上教学生如何创新创业,只不过是误人子弟,浪费学生时间罢了。我看了不禁莞尔,写剧本的老兄显然吃过教授的亏。依我的浅见,创意可经由2种方式培养。第一种方式是在观察有创意的人的过程中学习其创意。换言之近朱者赤,近墨者黑,这是所谓的米开朗基罗效应(Michelangelo Effect)。这个效应是心理学家观察到的现象—相互依存的个人会影响和 「塑造」对方—如果你有决心学习创意,在观察有创意的人的行为过程,渐渐能雕塑出自己的创意风格。一般大学进行系统式的授课,没有创意涵养的教授仍然可以照本宣科,但产生的米开朗基罗效应,却教出没有创意的学生。难怪「鸡尾酒」尖酸的下结论:「教授上课讲的都是胡扯。」米开朗基罗(Michelangelo Buonarroti)是真正有创意的大师,一块顽石在他手中能化腐朽为神奇,雕塑出艺术品。心理学家因此以他命名米开朗基罗效应。第二种方式是鲶鱼效应(Catfish Effect)。原意是指透过引入强者,激发弱者变强的一种效应。渔夫捕捉沙丁鱼食,返航后沙丁鱼都已奄奄一息,卖相甚差。有一位挪威船长将鲶鱼和捕获的沙丁鱼放在一起,沙丁鱼为了闪避东游西窜的鲶鱼,不停游动保命,终可在渔船靠岸时存活下来,是为鲶鱼效应。此效应亦可引伸为棋逢敌手,能互相砥砺成长的意思。米开朗基罗和达文西(Leonardo da Vinci)两位文艺复兴时期的艺术大师,有鲶鱼效应的故事,彼此良性竞争,激荡出艺术创作的火花。话说意大利翡冷翠打算为维奇奥宫绘制大厅内的巨幅画作,同时邀请米开朗基罗和达文西来「投标」争取创作。两个人竞争,最后都因故放弃,没有分出高下。后来两个人又较劲制作大卫雕像。结果米开朗基罗胜出,获选为制作大卫雕像的艺术家,完成永垂不朽的雕像。落败的达文西专注投入解剖学研究及绘画创作,在科学与绘画上缔造出伟大创新。说了半天,如何利用ChatGPT来帮您利用米开朗基罗效应(鲶鱼效应)养成创意?您不妨就单刀直入,直接问它:How to use ChatGPT to create Michelangelo Effect (Catfish Effect) for innovation?比对米开朗基罗和达文西的例子及ChatGPT给您的答案,或许您更清楚如何进行。 
麦克风的问世
ChatGPT横空出世,推动人工智能(AI)更大的浪潮,也革命性地改变旧有技术。例如人工智能在麦克风增强应用方面扮演重要角色。结合自然语言处理和AI技术,麦克风可进行多种创新应用,包括语音识别、情感检测、声音分析、噪音消除和多语言翻译等。麦克风是Emile Berliner发明的语音输入装置。早期麦克风主要用于录制黑胶唱片。贝里纳成立留声机公司Gramophone,并以画家Francis Barraud的作品《His Master’s Voice》作为商标。商标中的小白狗名叫Nipper。Nipper有一次发现一部留声机,充满疑惑地歪着头打量,这个情景被Barraud捕捉下来,成为Gramophone的商标灵感。1901年,Berliner在美国成立胜利唱机公司(Victor Talking Machine Company),后来被收购并更名为RCA(Radio Corporation of America)。图一:Emile Berliner(1851~1929)。林一平 麦克风技术的后续精进归功于David Hughes。Hughes在1878年对爱迪生麦克风的音量做出重大改进,并申请专利。这项发明在1920年代仍在不断改良,最终演变成大众今天使用的碳粉式麦克风。作为一名音乐家,Hughes的麦克风发明还挽救长笛在爵士乐中的地位。长笛的音量较小,当与其他乐器如小喇叭或萨克斯风一起演奏时,往往会被掩盖。因此,长笛通常只能在音高较高的部分做些装饰性演奏,让听众勉强察觉它的存在。有了麦克风的帮助,长笛的可用音域得到显着扩大,终于能在爵士乐演奏中大显身手。笔者的研究团队正发展麦克风的AIoT技术,称为MusicTalk,希望利用AI技术改善麦克风产出的声音,其关键在于运用音律的原理。音律的原理是谁发明?应该是十六世纪朱载堉。朱载堉是明宗室郑恭王朱厚烷嫡子,发明演算法将八度音切割为十二等分,并制造出新法密率律管及新法密率弦乐器,是世界上最早的十二平均律乐器。理论很难,做法却简单。在调整琴弦时,将第一音弦的长度除以密率(亦即2的十二次方根),就可得到第二音弦的长度。以此类推,到达第十三次时,就会得到一个完全的八度音。远传饶仲华博士与笔者曾写过一篇论文,设计手机音乐语言,可以调整手机麦克风收音后的优化,其音律校正,源自于朱载堉的理论。图二:朱载堉(1536~1610)。林一平
Tesla减少碳化矽用量 替代方案有解
近期外电及本地媒体大幅报导Tesla宣告将减少电动车中碳化矽(SiC)元件的使用量,并造成了几家SiC供应商顿时股票大跌,包括Wolfspeed、意法(STM)、安森美(Onsemi)及英飞凌(Infineon)等。接下来随即即有专家开始讨论,Tesla是如何达到减少75%的SiC用量?半导体功率元件跟摩尔定律最大的不同在于,IC每进入一个新的制程节点,面积就会缩小一半,功率元件远远做不到。于是就有不同的组合被提出来,包括由原先的平面式(planar)SiC MOS晶体管,改为先进的沟槽式(trench)晶体管;或者因为电动车的电池系统要由400V改为800V,SiC MOS耐压也要由650V挺进到1200V,由于电流可以减少一半,SiC MOS芯片面积得以等比例减少。但是,再怎麽算也到不了减少75%。最后只得加上马达所需功率的减少,才勉强可以凑足。可是Tesla同时又宣布,未来马达设计不使用稀土元素,这使得马达效率的提升更形困难。Tesla此举的目的是要降低成本,以建构与其他竞争者的障碍。但不论就使用沟槽式或1200V SiC MOS,的确芯片面积是可以减少,制程却变复杂,实际成本下降反而有限,再加上这些都是所有竞争对手知道的趋势,因此这会是个假议题吗?在提出个人解答之前,笔者想先谈一下制造产业的学习曲线。陈良榕先生在友刊的文章中提到,张忠谋在德仪(TI)及台积电,就是利用学习曲线创造出与竞争对手的差距,这在以制造为导向的产业是非常的重要。试想一个资本摊提完成的半导体厂,不仅成本最低,良率最好,同时单位的产出也最多,而新进竞争者,还在学习曲线的初期,是看不到台积电的车尾灯。Tesla现在也是利用所经历学习曲线的优势,来创造竞争优势,而逆变器(inverter)所使用的SiC MOS就是个可以发挥的项目,因为价格不斐。个人的浅见认为,Tesla是使用Si IGBT(insulated-gate bipolar transistor;绝缘栅双极性晶体管)取代SiC MOS,并使用SiC二极管(Schottky diode),作为IGBT所需的飞轮二极管(freewheeling diode;FWD)。晶体管分为两类,一为双极性(bipolar),另一为单极性(unipolar),也就是MOS。双极性晶体管中电流与电压之间的关系是指数函数(exponential),而MOS晶体管电流与电压是1~2次方关系。所以双极性晶体管在输出电流驱动的能力是大于MOS,但是双极性晶体管是靠输入电流来工作,MOS则依靠绝缘栅极的电压来动作,故双极性晶体管比较耗电。IGBT的诞生即结合此二者优势,在输入端使用绝缘栅极(insulated-gate),而输出保留高输出电流的特性(bipolar)。逆变器主要的应用在于将电池的直流电转换为三相交流电,用以驱动马达。晶体管在此是作为电路的开关,MOS因为是对称的元件结构,可以处理逆向流过的电流。但是IGBT的元件结构不对称,需要额外并联1个FWD。以SiC二极管作为FWD,可以大幅提升其效率,同时IGBT的高输出电流能力,也可以提高逆变器的转换效率。Tesla在Model 3使用SiC MOS之前,也是使用Si IGBT以及Si FWD,现在只需将Si FWD改为SiC。IGBT的缺点在于操作频率较低,无法高温操作,且耐压不如SiC MOS,但这些在现行电动车系统,皆非严重问题。由于二极管电流与电压的关系也是呈指数函数变化,再加上现行Tesla每一相开关是使用2颗SiC MOS并联,笔者估计在相同输出电流条件之下,使用SiC二极管的芯片面积,应该可以是 SiC MOS面积的25%。而二极管是制程最简单的半导体元件,也最便宜,所以在SiC的费用上可以下降到原先的10~15%。只是还须加上个Si IGBT,因此总成本可为原先的30-40%。Tesla拥有别家车厂没有的学习曲线,要拉大与竞争者的差距,如果笔者是Elon Musk,选择Si IGBT加上SiC二极管的排列组合,降低SiC整体用量。
先进微影技术发展(二):纳米压印与定向自组装
除了纯粹光学的方法外,还有其他方法也可以用来定义半导体的精细图案。纳米压印微影(Nano Imprint Lithography;NIL)也发轫于90年代中期,至今还未进入量产,但是其分辨率经验证已可达10 nm以下。NIL的操作是先以电子束微影(electron beam lithography)在「光罩」(其实更像是模版)上写下欲转录图形的阴刻,然后压印在已涂布低粘度(low viscosity)的「光阻」(这物质其实与光敏无关,只是用来抵挡蚀刻)的矽晶上,让光阻流入图形阴刻中的沟槽后,以紫外光照射用以固化(curing),形成光阻覆盖图形。后续的工作就如同一般的制程一样,开始蚀刻光阻未覆盖的区域。NIL有能力用来制造出3D图形。如此操作可以省却复杂的光源及庞大的透镜/反射镜所组成的光学系统,而且在关键层(critical layer)可以只用1次操作完成,所以预期的产量较高。一个微影系统的能力通常以图形化(patterning)、叠加(overlay)以及量产能力(throughput)来评估。其中图形化是指生成所需图形的能力,主要是分辨率;而叠加是指上下2层图形的对齐精准度。目前的进展是对于3D NAND产品NIL的图案化及叠加能力已达满意程度,等待量产能力达标后,即可投入产线。对于DRAM,图形化能力已达14 nm (1a)节点,叠加能力犹待展示;存储器是NIL比较可能的先期应用。NIL技术主要由日本所开发,佳能(Canon)在90年代未能接受美国授权EUV技术后即转向NIL方向发展。晶圆厂方面,东芝(Toshiba)于2000年初即投入研发。目前威腾电子(Western Digital)想收购东芝的原因除了扩大生产、研发的规模经济外,东芝拥有NIL技术、可用于投入MRAM的生产也是吸引力之一。另外,国内也开始投入NIL的研究,这是国内半导体技术自主化中的一环。定向自组装(DSA;Directed Self-Assembly)是与前述2种技术完全不同概念的运作,DSA也发轫于90年代中期,其时复杂系统(complex system)领域中的热门研究题目之一是元胞自动机(cellular automata),它是指一个单元可以用简单规则建立一套复杂系统的模型,DSA就是藉助此概念所发展出的方法。如此跨领域创新,在半导体学院可教不来。 光学微影(photolithography)与NIL都是从上而下(top-down)来定义图形,亦即图形先从巨观尺度定义完成后再去处理图形中的内容物质;而DSA则是由原分子阶层由下而上(bottom-up)组成所需图形。  DSA使用嵌段共聚物(Block CoPolymers;BCP)当成主要材料,常用的材料为聚苯乙烯嵌段聚(甲基丙烯酸甲酯)[poly(styrene-block-methyl methacrylate);PS-b-PMMA]。DSA是2条互斥(repulsive)的高分子链以共价键衔接在一起,对于其他的化学物质有不同的亲和性(affinity),这是用来操纵形成不同图案的主要机制。DSA亦可形成3D图形。DSA的实际运作首先要形成引导图形(guiding pattern)—引导BCP自组装成需要图形的外在框架,有2种主要方法:图形外延(graphoepitaxy)以及化学外延(Chemoepitaxy)。前者是先以微影方式定义引导图形(guiding pattern)的3D拓朴形状,譬条状平行沟槽,然后在沟槽壁上或沟槽底部涂布上特定化学品,沉积BCP于其上。2条高分子链中的1条对于以涂布的化学品具有高亲和性,黏附于其上,剩下的就靠高分子之间的自组装,形成需要的图形;化学外延则是在基板上直接涂布较高密度的化学品,剩下的也全靠高分子之间的自组装机制。DSA既然也需要微影技术来定义图形,为什麽还需要DSA?原因是DSA自组装形成图形的密度较微影技术为高,目前DSA的分辨率已达12.5 nm,而且还在继续向下发展。DSA搭配EUV、做为增强EUV分辨率的手段是目前考虑的使用方向之一。相对于NIL,DSA还需要更长的时间才会成熟量产。DSA在量产的2个预期的主要应用为线/间距(line-space)和接触(contact)图形,前者是存储器金属层的最关键技术,因此存储器公司对DSA的投入较深。目前的微影技术其实离最终极的原分子尺度并不太远,但这并不意味着对于原分子尺度的运作操控将止步于此。像NIL与DSA都跳脱传统光学微影系统的思维,利用新的物理、化学机制与材料,更多基础科学的投入才能容许半导体产业走更长远的路。延伸报导先进微影技术发展(一):既有设备路径的延伸
先进微影技术发展(一):既有设备路径的延伸
微影技术是半导体产业用以定义精细图案的主流手段,目前最先进的技术是极紫外光(EUV),最近的量产设备为ASML 的TWINSCAN NXE:3600D。使用的光源是由二氧化碳雷射打到锡(tin;Sn)电浆(plasma)后,产生13.5 nm的极紫外光。数值孔径(Numerical Aperture;NA)为0.33,这个数值反比于分辨率(resolution,或为Critical Dimension;CD);微影技术中NA愈大愈好。其分辨率为13 nm,生产能力(throughput)每小时可以处理160片晶圆。这机型支持7纳米、5纳米制程的量产。有一个常有的误解,是5纳米制程其实并不代表其分辨率恰好为5 nm,这数字是技术节点(technology node)的名称。过去此数字的确是代表此一制程的临界尺度,譬如逻辑制程的闸极长度(gate length)或者DRAM的半金属间距(half metal pitch)。但是现在晶体管3D化、制程变得复杂,节点的命名代表的是依照摩尔定律演化曲线投射的晶体管数目、晶体管开关速度、功耗的等效名称。微影技术的后续发展呢?据ASML最近的财报表示,改进的方向是往增大NA的方向,从目前的0.33增大为0.55,后者称为高数值孔径(high NA),可以进一步提高分辨率。另一个方向是增加生产能力至220片,降低晶圆厂生产成本。理论上一个光源的分辨率为其波长的2分之1,譬如EUV现在的光源波长为13.5 nm,理论上其单次曝光的壳达到的最佳分辨率约略小于7 nm(重复曝光可以进一步改善分辨率)。用较短波长的光源藉以达到更高分辨率是以前理所当然的路径,微影机的光源一路从g-line(436 nm)、h-line(405 nm)、i-line(365 nm)等可见光进展到KrF(248 nm)、ArF(193 nm)的深紫外光(DUV),主要的进展都是靠缩短光源波长来改进分辨率的。但是从DUV迁移到EUV牵涉到结构上重大的变革,有2个重要因素。在光源方面,没有自然的原分子可以被激发后释放出EUV,所以必须以二氧化碳雷射去多次激发锡电浆。另外,EUV被几乎所有的东西所吸收,包括空气以及石英(以前用来做光罩的材料)、透镜等,所以所有的EUV光学系统都得改成在真空中以镜子反射式的呈像,这是一个颠覆式的重大变革。EUV的研究肇始于1990年代初,当时DUV的半导体量产机台还未导入量产呢!之所以要花20几年的时间来研发,因为是对一个更精密系统的重新创造。而且目前的EUV是一步到位的研发。EUV的定义是波长落于10~210 nm之间的光,目前EUV的波长13.5 nm已在EUV定义的边缘,波长再短就是x-ray了。到那时候又是另一套全新系统的开发。X-ray 也会被空气吸收,而可能的光源之一是1990年代就提议过的同步辐射,那可又是一场全新的游戏。所以沿着光学微影方向发展的下一步呢?比较大的可能是超高数值孔径(hyper NA),NA=0.75,这可以让分辨率比目前至少再提高个2倍。配合其他常用的制程手段,譬如多重曝光、过度蚀刻等,看能否接近矽原子的天然分辨率极限。这个路径虽然是演化式的,但是研发经费仍然是天文数字。后面有没有足够的高端应用来分摊这前头的庞大研发经费,这是个经济问题。