林育中
DIGITIMES顾问
现为DIGITIMES顾问,臺湾量子电脑暨信息科技协会常务监事。1988年获物理学博士学位,任教于国立中央大学,后转往科技产业发展。曾任茂德科技董事及副总、普天茂德科技总经理、康帝科技总经理等职位。曾于 Taiwan Semicon 任咨询委员,主持黄光论坛。2001~2002年获选为臺湾半导体产业协会监事、监事长。
臺湾电子制造服务业养成记:PC、手机、AI服務器以及与半导体的深度结合
PC的问世提供臺湾制造服务进一步演化的机遇。 1974年微仪遥测系统公司(Micro Instrumentation and Telemetry System;MITS)发表Altair8800,之后还有初期的苹果(Apple)电脑。但是IBM PC于1981年问世后才让PC大量进入市场。 臺湾在1984年才开始承接PC的制造服务,此时臺湾却已非昔日吴下阿蒙。首先,第一家提供PC制造服务的宏碁已有自有产品「小教授」,虽然是比较适合于特定用途如训练与教育等领域专用机种,但是已有自己设计的能力,可以同时承接原始设备制造(Original Equipment Manufacture;OEM)以及更进阶的原始设计制造(Original Design Manufacture;ODM)等2种服务。到了1988年,臺湾已经成为仅次于美国的PC出口国;到了2000年初,全世界有80%的PC出于臺湾。 这段经历对臺湾有2个重要意义。一个是对于臺湾刚起步的半导体产业提供了初试啼声的近端市场。芯片供应商包括威盛、矽统、扬智、钰创、华邦、联电、臺积电等,这些芯片供应或制造商在80年代末、90年代初陆续的加入电脑零件供应商的行列。以中国的术语来说叫做国产替代,而且零件能自己供应的比例愈来愈高,可以制造的电子系统种类也愈来愈广。 对于半导体产业,这是成长的沃土;对于电子制造服务业,这是加长供应链的战略纵深。 90年代中期臺湾几家电子制造服务公司开始研发移動通讯,97年起开始2G GSM手机的OEM/ODM业务,业务模式一如之前PC的电子制造服务。 从智能手機時代起,电子制造服务产业将部分产能移至中国,持续扩大其制造产能。 2000年代中期,臺湾开始服務器的EMS/ODM业务。到了2018年,所有主要电子制造服务公司已经能制造完整的服務器以及边缘计算(edge computing)设备。 2022年末,臺湾开始迈入人工智能服務器的制造服务领域。到2024年,臺湾生产的人工智能服務器占全世界93%。除了原先PC、智能手機已然的制造服务优势持续奏效外,另外在半导体累积的经验,包括尖端芯片制造以及先进封装加持下的多重优势价值链,这囊括的市占率似乎是理所当然。 这个电子制造服务的生态系似乎还有持续扩大的机会。在先进计算方面,人工智能的下一步目前近乎可及的题目是量子计算。包括IBM和PsiQuantum等使用不同类别量子位元的公司相继宣布通用容错(universal fault tolerant)、可以应用于解决实际问题的量子计算机将于2029年进入商业量产阶段。 臺湾对于量子计算的研发相较于国际领先群本来就启动时间较晚,而且投入的资源相对不足。IBM不久之前才宣布未来5年内在美国要投资1,500亿美元于量子计算的发展;如果包括制造在内,5年内总计3,000亿美元。与此相较,臺湾对于量子计算技术的投入太迟又太少。 但是如果不是想赚取「先进研发利得」(advanced research gain)的话,臺湾仍然可以用电子制造服务来参与新兴领域,分取红利。 目前NVIDIA先进计算架构已经将量子计算整合入既存的人工智能服務器架构,规划CUDA-Q。臺湾的电子制造服业在近年来已经开始启动量子计算的研发,虽然能量不足以挑战世界已先行多年的发展领先群,但是藉目前已开展的人工智能服務器的巨大翻展动量,以后发的研发来了解新科技,加入下一阶段的发展,这个策略还是有机会延续臺湾电子制造业的优势。
2025-07-08
臺湾电子制造服务业养成记:从黑白电视组装开始
最近关于臺湾半导体发展史的影片《造山者:世纪的赌注》(A chip odyssey)中提及美国无线电公司(Radio Corporation of America;RCA)授权、移转半导体技术给臺湾,启动臺湾半世纪的半导体旅程。 其实RCA对臺湾的科技发展有另外更早、影响可能更深远的贡献。1966年RCA在臺湾设立黑白电视组装(assembly)的生产线。 黑白电视在30年代已有样品、40年代已经商业化量产。1954年RCA也开始商业化量产彩色电视,并且70年代以后在美国、日本、西欧等发达地区彩色电视变成主流。但是黑白电视在发展中国家市场仍然是主要的消费性电子产品。RCA将黑白电视的组装工作迁移至臺湾是最佳化低毛利事业部门,一个典型的美国公司的标准操作。 黑白电视迁臺组装这件事有几个重要意义。第一个是移转臺湾现代化生产线以及公司的管理,这个效果毋庸赘述。 第二个是技术移转。当时黑白电视已经算不得尖端科技,因为彩色电视的普及在即。如果组装可以成功地在地运行,将零组件的制造也一起迁移是个明智的选择。这些技术包括阴极射线管(Cathode Rate Tube;CRT)、类比线路(analog circuit)设计、焊接(soldering)、表面粘著技术(Surface Mount Technology;SMT)等。这此技术很多是后来电子设备制造的共同基础。 第三个是产生规模经济。RCA于60年代后期于臺湾开始组装黑白电视,臺湾本地的公司如大同、声宝、歌林等也开始代工组装或制造自有品牌的业务,后续还有更多的臺湾公司以及欧美公司投入相同的领域。在高峰时期,臺湾出口的黑白电视占全世界市场的60~70%。之后的彩色电视业务,虽然各国将之视为较先进的消费性电子产品而有较高的自制意愿,但鉴于已趋成熟的臺湾组装能力,臺湾的彩色电视出口仍然占全世界市场的30%左右。 由于有了规模,与其系统相关的生态就有开始衍生的机会,譬如日本公司投资的零组件,臺湾本地生产的被动元件电阻、电容等。有一个很显著的例子:富士康成立于1973年,最先期的产品就是黑白电视的旋钮(knob)以及塑胶零组件,这就是黑白电视组装业务带来的臺湾电子产业生态系发展机遇。富士康不是孤例,近两年很多电子业相关公司都在庆祝50周年庆,遥想当年电子业生态系统是如何像地衣般全面铺展开来的。 RCA黑白电视组装厂设在1966年刚设立的高雄前镇加工出口区(Export Process Zone;EPZ),之后有如增你智(Zenith)与摩托罗拉(Motorola)等公司的加入。加工出口区的设立当初是为解决臺湾贸易逆差问题的手段之一。 1966年臺湾的贸易逆差为约8,400万美元,这个数目看起来似乎不大,但是在当年占臺湾32亿美元GDP的2.6%。现在美国对全世界加征10%的关税,其主要目的也不过是要解决其占GDP 3%的贸易逆差问题。 加工出口区的设立对于电子制造服务业(Electronics manufacturing Service;EMS)的开展有决定性的影响。除了在关务、税收政策等方面形成肥沃的生态土壤,在全球运筹(logistics)方面提供必要支持,使得规模经济得以持续扩张。这是臺湾电子制造服务业发展的起点。
2025-07-07
臺湾量子电脑的发展策略
当IBM Condor的量子位元数已经高达1,121个、Atom Computing Phoenix的量子位元数也已达1,180个,臺湾的量子计算研发2024年才刚刚跨出5个量子位元的原型(prototype)量子计算机的第一步。 量子计算的量产也许不会在立即的未来发生,但是也不会太远,至少目前各方瞩目的PsiQuantum预计在2027年年底开始量商用的机型,并且在2029年达到全尺吋容错(fault-tolerant)系统。 量子计算的商业应用有很大的机率如同人工智能般产生巨大经济价值而重新分配财富,而新财富的分配通常只限于创造经济价值的参与者。以目前在量子技术核心量子位元(qubit)及量子闸(quantum gate)还远远落后前沿科技的开发进度,臺湾在未来的量子時代还有机会在科技新時代分到相应贡献的经济价值吗?答案是有可能的,而且已经悄然发生中。 看现在的人工智能服務器(AI server)便可以明白臺湾会以怎样的策略切入这明日之星的新产业。 其实臺湾的产业几乎没做过基础科研,除了臺湾基础科研的整体体量较小外,对于公司资源的配置,也有基于公司经济尺吋的现实考量。 要在新领域独占鳌头,要做长时间、领域广泛的研发投入,后者基本上是保险策略。极致的例子是IBM以前Watson Lab的风格,机构可以供养科学家以及研究经费做与公司业务没有直接关系的基础科研,甚至因为这些工作而获得诺贝尔奖! 臺湾的公司绝大部分是中小型公司。即便像臺积电在臺湾排市值排名第一的公司,在本世纪之前也未能进入全世界前100大之列。因此对技术硏发的策略长期偏向于做短、中期的技术发展(development),题目的选择与短期内订单的可见度有明显的相关。采用这样策略的风险较低,资金的利用效率较高。以产业内较直白的话来说,就是不见兔子不撒鹰。 不从先期的基础科硏下手、取得先行者的有利位置,却冀望取得产业带来的利益分配,靠的是什么?答案是供应链。 一臺量子电脑会大致有下列模塊:量子处理单元(QPU;Quantum Processing Unit)、控制和读取电子设备(control and readout electronics)、同相/正交混频器(IQ mixer;In-phase/Quadrature mixer)和FPGA 板、低温系统(cryogenic system)和真空系统、经典处理(classical processing)及回馈系统、軟件堆叠(software stack)、校准和诊断工具(calibration and diagnostic tools)等。这些模塊各负责一些功能,譬如经典处理及反回馈统统中就包含经典服務器及纠错控制器(error correction controller)。 以IBM最近1,121位元的Condor这款发展过程中的原型机为例,零组件的数目大约在11,000~16,000个之间,供应链的公司数在150~200个之间,但这数目只适用现阶段的原型机。如果是商用的机型,零组件数轻易会上数十万,因为有些零组件会随位元元数线性成长的。 对照于NVIDIA DGX GB200 NVL72的AI服務器,其中也有10个出头的模塊,合计有大约100,000~200,000个零组件。虽然其中主要的GPU不是臺湾设计的,但是芯片制造之后全在臺湾。臺湾AI服務器的出口,2024年占全世界93%,这是臺湾在此领域150~200家供应链力量的展示,而这力量是沿承自90年代的PC/NB供应链。记得90年代PC/NB产业的口号吗?除了CPU,我们什么都有。供应链不是可以一蹴而就的,因此也很难突然被取代。 未来的先进计算,如果含量子计算,很大机率是包含AI服務器的混合服務器(hybrid server),而且现在的量子计算机就已经包含服務器。 所以臺湾合理、合时宜的量子计算发展策略就是依著沿PC、AI服務器的供应链思路,以供应链的方式参与新产业的兴起。 臺湾的几家电子制造服务公司(EMS)都已经开始踏入这个领域。有的从0开始,建立量子位元研发团队;有的投资量子新创,同时建立公司内的量子团队。如果没法在最关键的研发领域领先,至少先暖身一下。毕竟量子不像传统的电子产业,观念上需要跳跃性的前进。 这样做有异于不见兔子不撒鹰的传统原则吗?并没有。产业链龙头企业NVIDIA已经提出CUDA-Q的架构,也有报导在评估投资量子计算新创公司的打算。兔子已经在视线之内了,正是撒鹰时机。
2025-06-05
中国曝光机发展现况:EUV
中国工信部宣布DUV信息的同时,上海微电子也发布其2023年申请的EUV专利、2024年9月专利申请公开的消息。延伸报导专家讲堂:中国曝光机发展现况:DUV上海微电子的EUV使用的也是现在商业主流的二氧化碳(CO2)雷射,波长为10.6納米。钖的液滴(droplet)先用钕钇铝石榴石雷射(Nd:YAG laser)打成圆盘状后,再被CO2雷射离子化(ionized)变成钖电浆(plasma),然后其外层电子向低能量态跃迁(transition),释出波长约为13.5納米的光子。之所以要用如此复杂的工序来产生EUV光源,是因为在此波长没有自然的物质的能阶差可以产生如此短的波长。选择13.5納米是因为波长再短一些,就变成X光(0.01納米~10納米)。EUV也很可能是矽基半导体技术的最后一种光源。矽的共价键(covalent bond)长度为0.543納米,而要形成一个块材,至少也要有10几20个原子,否则界面的效应就会严重影响电子于其中的行径。13.5納米光的分辨率以及应有的工程努力如加大数值孔径等—最多再加上多重曝光—要处理这样的临界尺吋尽够用了。所以上海微电子的专利的权利请求(patent claim)主要在光源之外的系统。至于原型机或量产机型的交付,没有官方宣布或较正规新闻。2024年12月30日哈尔滨工业大学因「放电等离子体极紫外光刻光源」工作获得中国黑龙江省的科技创新成果转化大赛的一等奖。这奖只是地方奖项,能引起后续新闻报导自然是因为它牵涉到EUV的光源产生。它产生光源的方式与前述的以CO2雷射来离子化圆盘钖滴粒,借以产生13.5納米光源的工作方式—雷射产生电浆(Laser-Produced Plasma;LPP)不同,它是施加高电压借以离子化钖滴粒产生13.5納米光源,此种方法称放电产生电浆(Discharge-Produced Plasma;DPP)。报导中说它产生的线宽较窄(narrow linewidth)—也就是所有光的波长较集中于13.5納米、功耗较低。二者说法都有误导之嫌。LPP与DPP产生的EUV光都不是相干的(coherent)。如果硬要比较的话,LPP产生的光线宽较窄,但二者均可以经滤光器(filter)将线宽控制在可接受范围内。而DPP的原始功耗较低,恰恰好成为当初与LPP竞争成为EUV光源候选人时未能雀屏中选的主要原因。在相同的能源转换效率(energy conversion efficiency)条件下,光源较高的功率输出代表较强的光亮度(light luminosity),可以用较短的时间完成曝光,提高曝光机吞吐量。DPP EUV能量的提升(scale up)较为困难,因此用来当量产机臺的光源挑战也更大。最后是在2025年3月在《中国激光》期刊上中国中科院上海光学精密机械研究所由林楠领导团队所发表的〈1um激光激发固体Sn靶等离子体EUV幅射特性实验研究〉。林楠曾服务于ASML光源团队,对此题目的产业商业化考虑应该十分熟悉。文章中的1微米固态雷射(solid state laser)使用的就是前述用来将钖滴粒打成盘状的Nd:YAG雷射。固态雷射由于其体积较紧致(compact)、电光转换效率(electrical-to-optical conversion rate)较高(~20%),而且目前输出功率已达千瓦级,未来可能可以提升至万瓦级,有望取代CO2雷射,成为驱动EUV的主要雷射。文中指实验的能量转换效率已达3.42%,若用已商业化的1kW固态雷射,已可来做曝光验证、光罩检测(mask inspection)等工作,并且在一定条件下,进一步用于先进节点的临界尺度以及疉加精度的量测。也就是说,这是一个未来EUV机臺的研究起始点。产业此时的现实考虑是从CO2雷射波长10.6微米转换成Nd:YAG雷射波长1微米对于光源次系统是一个全新的转换,所有的工程工作必须重新来过,而且CO2雷射用于LPP EUV商业化已久,目前的成本远低固态雷射的。所以这个工作更倾向于对未来可能发展方向的研究准备,对于目前的先进制程的突破,短期间内是使不上力的。近期的这些报导距离真正的工程实施都有相当的距离,进步也比较片面。一个EUV曝光机包括EUV光源、光学系统、真空系统、光罩版臺及夹具(reticle stage and clamping)、晶圆臺(wafer stage)、热管理(thermal management)、计量和傳感器(metrology and sensors)、控制电子设备(control electronics)以及軟件及韧体(firmware),大大小小的零组件计100,000个以上,其中很多零件是专为EUV机臺量身定制的。建立此一庞大、复杂、精确的供应链队伍的难度,可能更甚于对单一技术课题的突破,我认为这是中国在发展自有曝光机的最大挑战。
2025-05-14
中国曝光机发展现况:DUV
在中美贸易战中,美方施力的重点在于箝制中国高科技的发展的进程,特别是半导体、人工智能和量子计算,而前二者息息相关。 在半导体方面,美国的管制近乎遍及全产业链,从设计工具(EDA)、产品、制程设备乃于材料的禁运,中国自然是以国产替代以提高自给率,这也是涵盖全产业链的回应。 中国在半导体设备领域的弱点包括电子束测试机(e-beam tester)、离子植入机(ion implanter)和曝光机(lithography equipment)。 电子束测试机是量测机臺,基本上是用来侦测除错,不是制造过程的一部分。离子植入机—特别是高能量(~1MeV)的,对于高压碳化矽(SiC)MOSFET的制程至关重要。现在的电动汽车电压已早从600V迈向800V、1200V。没有高能量离子植入机无法制作车规高压功率元件,对于中国电子产业的零件自制率影响巨大。 最令人关注的自然是曝光机(lithography equipment)。曝光机的能力代表先进制程的终极分辨率(resolution),又与先进技术节点(technology node)直接相关。先进制程的主要应用之一是与算力高度相关的各式XPU,特别是专注于人工智能应用的GPU;另一个应用也是在人工智能芯片架构中的高帶寬存儲器(High Bandwidth Memory;HBM)。 从2024年9月起,中国就陆陆续续的传出各式曝光机进展的相关消息,对于全世界的半导体产业,这自然是头等的新闻焦点。 首先是中国工信部指导目录中的DUV曝光机,在2024年9月公布。 本质上,这就是一臺干式的DUV曝光机,光源是氟化氩(ArF)的准分子(excimer)雷射,氟化氩雷射波长为193納米 。 此曝光机的分辨率为65納米,如果假设系统中其他性质都已达最佳化,则其物镜(objective lens;系统中用于收集光线、用以呈像的主要透镜)的数值孔径(NA,愈大分辨率愈好)推算起来大概是0.75。如果要进一步改善分辨率,还要经过另一阵子的努力以达目前产业前沿水准0.93的数值孔径。也就是说,在光学系统的发展目前还处于较早期阶段。 至于其叠加精度(overlay accuracy;上下层图案的对齐精度)为8納米。要能产生65納米临界尺吋(Critical Dimension;CD)的制程,上下层的疉加精度要达临界尺度的20%左右,也就是13納米。如果要做双重曝光(double exposure),则叠加精度必须提高到13/2納米=6.5納米。显然此臺曝光机目前的分辨率就是65納米,而且无法透过双重曝光的手段进一步提升制程的分辨率。 再往前的路,除了前面所述在物镜的数值孔径需持续提升之外,另外还需要往浸润式(immersion)方向移动,利用水的折射率(refractive index)1.44较真空的折射率1为大的因素,提高曝光机的整机分辨率,这样才可能达到28納米的分辨率。至于像FinFET这样的精密元件,部分制程就要动用到双重乃至于多重曝光。浸润式曝光机使用新机制以改变波长,自然要面临新的问题,譬如水的纯净度的控制以给水温均匀恒定的维持等。这个部分自然也有机构早已从事研发,譬如中国中科院长春光学精密机械与物理研究所正在开发的数值孔径为0.8的浸润式物镜;承担浸润式曝光机的光源攻关任务的是中国中科院光电院、微电子所孵化出来的科益虹源;电源模塊则是由中国中科院安徽光机所的团队承担开发任务。多梯次技术平行开发是可以想像的技术发展方式。 自2023年以来偶有上海微电子已开始交付其28納米浸润式DUV的新闻,机型为SSA/800-10W,叠加精度为1.9納米,最近一次的传闻为2025年1月7日交付。惟上海微电子公司产品目录无此型号,没有官方发布,亦无可靠媒体报导。根据其型号中的10W字样,此机型最多为原型机,因为其光源能量不足,无法支撑量产所需之吞吐量(throughput)。这条工信部指导目录的消息没有公司送原型机(prototype)到晶圆厂用在線制程调适机臺的后续报导,所以出货与否未可知;而且从原型机到量产机,总是要有好些时日。 至于前一代的90納米 DUV机臺已自2022年交付过几臺,初期主要的问题是系统不够稳定、down time太长、因光源功率不足(20W)致使设备吞吐量太低。所以,这条新闻对于中国积极发展曝光机国产替代的意义要重于先进技术的实际突围。
2025-05-13
DRAM 制程发展方向:3D DRAM
从20納米以后,DRAM制程开始龟速前行。从19納米到11納米之间,以每次1~2納米的速度进展,跌跌撞撞地经历1x、1y、1z、1a、1b、1c以及未来的1d,共计7个制程。虽然现在仍使用平面(planar)DRAM制程,却早已经大幅的利用与晶圆垂直的第三维度,使得DRAM在效能、功率上,还能有实质的提升;在芯片的密度上进展比较迟缓,看来有点鸡肋,但是对于有些应用—譬如高频寛存儲器(HBM),稍为提升密度还是有实际用处的。要达到HBM每个時代的存儲器容量标准,只有特定的制程時代有能力提高到如此高容量的存儲器芯片。但是在每位元成本方面,制程的推进因为制程变得复杂,对于降低位元成本已毫无贡献。以三星电子(Samsung Electronics)现在的1b制程为例,就使用5层EUV,因而所费不赀。DRAM市场短期内不会平白消失,但是如果其制程推进还是继续如此缓慢,仍然会逐渐失去其高科技产业的特性;高科技产业之所以能获取高额的利润,是因为其科技的快速推进可以重复运作。现在DRAM制程的缓慢推进、乃至于停滞是DRAM业者共同的梦靥。10納米以下,目前各DRAM业者共同的技术推进方向大致是3D DRAM,只有三星会在1d制程之后试图导入垂直通道晶體管(Vertical Channel Transistor;VCT)。垂直通道晶體管基本上是将晶圆上平面晶體管的结构竖著长,减少每存儲器单元的底部面积,从传统的6f2缩小为4f2,其中f(feature size)为半导体制程的特徴尺吋,譬如半金属间距(half metal pitch)。这样的制程推进,大概稍大于10納米级制程推进一个時代的效益,然而这只是一次性的方法—下一步可没另一个方向可以再利用了。最主要的是垂直通道晶體管与未来的3D DRAM制程完全不沾边,研发的努力只能使用一阵子。因此并不是所有DRAM公司都做此想。3D DRAM的引入第一个问题不是为何要引入3D制程,而是为什么到此时才引入3D制程?毕竟所有的DRAM大厂都有3D NAND的技术。当2013~2014年3D NAND技术开始被引入时,DRAM的制程也早已在25~20納米左右,即将进入龟速前进的10納米级制程年代。用已经成熟的3D制程技术来推进举步维艰的DRAM制程似乎是理所当然。问题还是出在DRAM的结构上。一个线路要能够用3D制程来制作,有几个先决的条件。首要的是线路要有高度的重复性,无疑的,存儲器的阵列是3D制程应用的首选。在此点上,DRAM是符合的。再来是各层存儲器之间要有可以共享的材料。以TCAT(Terabit Cell Array Transistor)3D NAND的技术为例,各层之间存儲器单元的闸极控制(gate control)材料复晶(polysilicon)以及电荷陷阱(charge trap;用来储存NAND信號的单元)材料氮化矽(silicon nitride)是可以在各层之间共享的,因此垂直方向的制程整合相对简单,32层的存儲器可以用4、5层光罩来完成。但是3D DRAM的结构就没有这么幸运,电容部分必须完全隔开以避免存儲器单元之间的信號交谈(cross talk);通道部分因为DRAM追求高机动性(high mobility),不能用在高宽高比深沟中的轻掺杂(light doped)复晶做半导体,各层存儲器之间可以共享的材料只有字线或位元线,端看3D DRAM是要求垂直制程的简化或面积的极小化。另外,DRAM效能远比NAND为高,所容许的信號延迟(latency)很低。各层存儲器之间因紧密相邻所产生的感应电容(induced capacitance)等效应都会降低DRAM的表现以及信號的协同,因此3D DRAM的确比3D NAND的工程问题要复杂得多,这也解释为何3D DRAM制程迟迟没有上路。无论如何,DRAM产业维持高科技产业特性除3D DRAM外已几乎没有前路,譬如以前在文献中经常被提及的无电容(capacitorless)DRAM,其數據保留时间(data retention time)远不能与目前的DRAM相比。2023年7月长鑫在IEEE的International Memory Workshop发表其对3D DRAM的规划,三星也在同年的Symposium on VLSI Technology and Circuits发表其3D DRAM的技术论文。可见关于3D DRAM的议题各公司早已准备很久,只是研发结果发表的时机及场合各有考量罢了。根据长鑫的设计,2D DRAM的电容—晶體管垂直堆叠的组合在3D DRAM中就被横摆著成为一层中的一个存儲器单元。长鑫模拟出来的存儲器单元有多大呢?横躺的电容约500納米、晶體管200納米,加上字线和位元线,一个存儲器单元横方向的尺度接近1微米。长鑫采取的制程是字线垂直到下边的接触平面,这个做法会让存儲器单元的面积稍大,但是垂直的整合制程会比较简单。在技术发展的初期,先做出来再做好是合理的策略。至于存儲器阵列旁的周边线路(peripheral circuits),师3D NAND的故智,会在另外的芯片上制造,然后用混合键合(hybrid bonding)与上层的单晶(monolithic)存儲器多层阵列封装在一起。字线和位元元线的金属间距都是70納米。用以前DRAM制程定义半金属间距来看,这个起始制程大概就是35納米节点,与3D NAND刚开始时的30~40納米制程相彷。这样的3D DRAM堆叠32层后,所得的存儲器容量与1b的2D制程相彷。堆叠64层后容量就与10納米以下第一時代制程0a相彷。这个堆叠是个可以重复的进展,DRAM的高科技产业属性因此得以维持。目前有发布大概推出时程的是三星,大概在2026~2028年之间,与2D平面制程会并存一阵子,这与3D NAND刚出来时的策略也相同。假设3D DRAM的确是可行的技术,有2点值得评论。第一个是高帶寬存儲器是否会沿著目前的方法向前推进?目前的HBM是多个DRAM芯片以先进封装堆叠以达到较大容量,其中先进封装的费用占总成本的相当部分。如果存儲器容量可以用单晶的3D制程来增加,成本有可能降低。但是这是比较长远的事。另外一个议题有关于地缘政治。长鑫在其文章中说是业界第一次揭露3D DRAM技术,其实业界各自默默研发都很久了,但是长鑫对于3D DRAM的应用可能会特别有感。一方面目前长鑫的制程大概在1z节点,与领先公司有2、3代的差距。开始采用3D DRAM制程,可以快速拉进距离,毕竟那是一个新战场。最重要的是3D制程中,技术的重心将从光刻搬移至蚀刻,这是长鑫在EUV资源受制约的状况下,最可能的突破口。所以各公司3D DRAM制程的实际发展状况和开发能力外界也许看不清楚,但是长鑫比较有可能投入较多资源是合理的预期。
2025-04-30
DRAM 制程发展方向:DRAM结构在制程微缩中的挑战
DRAM在1970年问世,取代以前的磁芯(magnetic core)存儲器,成为计算机冯诺伊曼架构中的一个重要模塊。在1984~1985年之间,因为个人电脑及工作站的兴起,DRAM变成半导体市场中市占最大的单一产品。 因为DRAM制程的进展直接决定存儲器容量,以及DRAM有较大的市占,有能力累积足够的资金以投入下時代的制程研发,DRAM自问世以后就成为摩尔定律主要技术推手(technology driver)。肇因如此,自1980年代后陆续投入半导体产业的日本,以及其后的韓國、臺湾,许多公司都选择投入DRAM此一次产业,因为这代表投入半导体产业中最先进的制程。 但是DRAM的制程领先地位在2000年初不久之后首先被NAND超越,之后逻辑制程又超越NAND,成为半导体制程技术的驱动者。 DRAM开始偏离摩尔定律并不是之前促使DRAM成为技术驱动者的因素消失了。事实上,到2024年为止,存儲器仍稳占半导体市场的4分之1左右,而是DRAM的基本结构在20納米以下遇到尖锐的挑战。 DRAM的存儲器单元(unit cell)结构为1T1C,亦即一个读取晶體管(access transistor)和一个电容。选电容当成信息储存单元天经地义-电容是电路三元件电阻、电感、电容中的一员。 电容上电荷的有、无代表信息的「1」和「0」,需要读、写电容上的信息时,就开启读写晶體管。基础物理教育告诉我们电容上的电荷,即使维持电容两边平行电板(parallel plate)的电压差不变,电荷也会随著时间逐渐流失。电荷流失的速度与两片平行电板之间的距离成反比,与平行电板的面积以及在平行电板之间物质的介电常数(dielectric constant)成正比。因为电容上的电荷会随时间流失,所以电容上的信息必须经常更新(refresh),目前DRAM中的信息刷新时间为64ms。 为了要控制个别的记忆单元,每一个单元的晶體管的闸极(gate)连有字线(word line),施加电压后可以让晶體管处于开启状态,可以用来执行读、写或更新的操作;位元线(bit line)则连接晶體管的汲极(drain),将自电容通过已开启晶體管的电荷送到傳感放大器(sense amplifier)侦测0或1的信號。如棋盘线交错的字线和位元线可以准确定位一存儲器单元,让周边线路挑选以读写其中信息。以上就是DRAM运作的大概架构。 DRAM制程持续推进的挑战,也正源自于这1T1C的架构。制程微缩的方向,与DRAM使用的晶體管以及电容所需的物理特性是朝反方向走! 首先遇到的是电容值的问题,2000年左右的电容值必须保持在40fF(femto Farad)左右,那时的电容已开始利用芯片上的垂直方向此一维度,电容要嘛挖成深沟(trench)状,放在晶體管旁的下方;要嘛堆垒成圆柱状(cylinder or pillar),置于晶體管上方,也就是利用垂直于芯片的方向面积的延伸来增加电容的面积。 但是制程的微缩会让圆柱的底部缩小,电容的面积因而减少,电容值也会随之降低,所以必须增加电容的高度,以增加电容的面积,借以维持电容值在一定的数值以上。以10納米级别制程为例,电容值必须维持在10~20fF以上。 但是减少圆柱底部面积、增加圆柱高度,就是增加圆柱的宽高比(aspect ratio),这会造成蚀刻制程的难度,圆柱底部较尖锐的形状也会造成新的电性问题,所以宽高比就停留在1:50,难以再推进。 至于晶體管,存儲器的与逻辑线路的注重不同的特性。逻辑晶體管注重效能(performance),也注重漏电流(leakage current)及其它特性;DRAM晶體管首重漏电流,因为这对电容保存信息的能力是致命。 晶體管存在漏电流的原因之一是栅极感应汲极泄漏(Gate Induced Drain Leakage;GIDL),指的是在栅极的位势(potential)高于汲极的位势时,即使晶體管处于关的状态,电流仍然会从汲极泄漏流向衬底(substrate)。 这个问题是历年来DRAM制程推进都要面对的问题,而且愈来愈严苛。 DRAM近年应对这个问题的措施包含在晶體管结构的变更,包括凹槽式通道阵列晶體管(Recess Channel Array Transistor;RCAT)、鞍鳍晶體管(saddle-fin transistor)、具有闸极工作功能控制(gate work function control)的埋栅(buried gate)晶體管等结构。 但是制程微缩也是朝不利于漏电流控制的方向移动。由于晶體管通道变短,于其上的闸极对于通道上的电流操控能力变弱,这就是短通道效应(short channel effect)。漏电流的降低也高度挑战制程研发。
2025-04-29
半导体产业趋势的反转(2):重返垂直整合
如果一个产业的2种竞争策略在不同时间都有可能成为产业的主要型态,当产业的条件有所变迁后,竞争策略的廻摆也是理所当然。2012年臺积电在28納米平臺制造Xilink Vertex-7 2000T FPGA(Field-Programmable Gate Array),这是在晶圆代工厂量产先进封装的滥殇。注意,原先已经分割的晶圆制造和封测的2个价值链节点,又重新被整合在同一制造体系之下。FPGA是半导体产业快速测试IC线路的重要工具。在FPGA上一个芯片拥有数量庞大的晶體管,常常是同一逻辑制程中晶體管数目最高的芯片,因此FPGA晶粒的尺吋通常比较大,芯片的良率有相当的挑战性。利用先进封装CoWoS来制造FPGA的理由是先制造FPGA小芯片(chiplet)以提高良率,并且利用CoWoS的特性获得较高帶寬、较低功耗、提升总晶體管数目等优势。 抽象一点来讲,芯片制造在物性和电性接近自然极限时,创造新经济价值的手段必须从以前单靠制程微缩延伸到封测、甚至到系统设计方面,这价值点创造的移动也诱发竞争模式的变迁。 如果晶圆制造代工将委外封测整合入其生态系或公司只是单一公司的个别行为,2016年半导体产业将原先国际半导体技术路线图(ITRS;International Technology Roadmap for Semiconductor)变更为异质整合路线路(HIR;Heterogeneous Integration Roadmap)则是国际半导体产业的集体意志。 ITRS专注的是传统晶體管的缩放(traditional transistor scaling),也就是芯片制程节点的进展;HIR则转向推动异质整合、先进封装与系统创新(system innovation)。这是个产业认知中里程碑式的变更:半导体创造价值的方向增加了!除了极少数几家厂商还能在先进制程持续推进外,业界协力的价值创造方向已经转向到单一芯片制造之外的领域,特别是多芯片、异质芯片的系统整合。 这个趋势不只发生在晶体制造的前、后端。2024年初新思科技(Synopsys)合并Ansys(主要产品为工程模拟与分析),主要原因就是在目前半导体产业演化的趋势下,自动化设计IC线路不能只考虑芯片本身的功能和效益。芯片置入先进封装、系统组装的诸种物理、化学、电磁等特性,在设计伊始时就必须纳入考量。 目前的芯片系统散热考量当然是显学。除此外,像芯片制作过程中的应力(strain)、电磁波的发射以及对处于同一堆叠其它芯片的影响、矽光子中光子的传递、消散以及光信号与电信号的转换、以及在封装后整个系统预计的表现等,都是在芯片设计时应该一路考虑到底的。也就是说,虽然仍做EDA的设计辅助本业,但是考虑的视野垂直整合完整的半导体的价值链,这就是新思科技从矽晶到系统(Silicon to Systems)策略。 半导体产业趋势又转向垂直整合。只是与最先的统包式垂直整合有很大的差异。像在晶圆代工与OSAT的垂直整合—虚拟的或实际的—只专注在制造的领域,而新思科技只专注在设计自动化领域的垂直整合。 许多在EDA还未问世时即已出现的概念如为可测试而设计(DFT;Design For Testability)、为制造而设计(DFM;Design For Manufacturing)、为可靠性而设计(DFR;Design For Reliability)、为系统而设计(DFS;Design For Systems)等现在都重新浮上台面,成为企业的口号以及产品实施的重点。 这个趋势也与现在流行的工程词汇「共同最佳化」(co-optimization)有极强的关连性。制程的缓步推进已经很难为半导体提供足够的新经济价值,譬如DRAM从1z推进到1a制程节点只能略为改善存儲器的密度,但是每个位元的成本已经降不下来;又如逻辑制程在过去可以在芯片设计时寻求效能和功率之间的均衡,做出速度够快又不会发烫的芯片。但在制程微缩变缓放慢后,芯片上没有足够的参数余裕来兼顾二者,只能一味的追求极致的速度,而将提供电力和散热问题留在先进封测与系统上去解决。共同最佳化的直白话就是将系统中每一成分单元和每一环节的余裕都一起释放出来,这就提供新垂直整合的坚实基础。 至少我们在制造和设计的半导体次生态区看到开始垂直整合的返祖现象,其他的次生态区譬如制造设备或者材料供应商怎么重新定位自己、制定策略呢?
2025-02-20
半导体产业趋势的反转(1):从垂直整合到价值链的解构
在半导体产业发展迄今的70几个年头,有一甲子的时间整个产业的动向是解构产业价值链,变成单独的价值链节点(value chain node)。 在1950~70年代,最早期的半导体公司如仙童半导体(Fairchild Semiconductor;1957)、美国无线电公司(RCA Semiconductor;1960s)、通用仪器(General Instrument;1960s)、德州仪器(Texas Instrument;1951)、摩托罗拉半导体(Motorola Semiconductor;1949)等,这些公司在今日半导体产业的分类都是清一色的整合设备制造商(IDM;Integrated Device Manufacturer)。 现在的IDM多指从芯片设计、芯片制造、芯片封测一路走到底的垂直整合公司,但是彼时的IDM更名符其实—它们连半导体制造及封测设备都可以一并自己制造,在50年代,这是主流。60年代,才逐渐转向使用专业设备制造商的产品;70年代后,使用协力厂商制作的半导体制造设备才成为业界的主流业态。现在重要的半导体设备厂商如东京威力科创(Tokyo Electron;1963)、应用材料(Applied Materials;1967)等就是在60年代才成立的。 更有甚者,这些半导体芯片制造厂商本身就是电子系统厂商的一部分或者子公司,其本身成立的部分目的就是进入新科技领域以及垂直整合零、元件部分进入系统。以后进入半导体制造业的日韩厂商更是如此,其母公司几乎清一色都是电子系统的制造商。 至于IC线路设计,当然在公司内部完成,而且因为当时的IC线路相对简单,人工设计是常见做法(common practice)。 只有在化学用品上,半导体公司才委外向一般的化学材料公司订制,譬如信越化学(Shin-Etsu Silicon;1953)和胜高(Sumco;1953)等。 所以在半导体产业发展的初期,现今所看到半导体产业价值链各节点譬如IC设计、制造设备、晶圆制造、封装测试等,在早期的半导体产业的竞争样态中主要以垂直整合的方式在价值链中获取尽最多的价值节点以扩大竞争优势,而反映出的公司型态就是IDM。 日月光(ASE Technology Holding;1984)和矽品(SPIL;Siliconware Precision Industries;1984)出现后开始将封装测试从垂直整合的半导体价值链分割出来;虽然艾克尔(Amkor Technology;1969)很早就成立以委外组装和测试(OSAT;Outsourced Semiconductor Assembly & Test)为主要业务的公司,但是到了日月光和矽品等出现之后,OSAT才为半导体产业的主流。 臺积电(TSMC;1987)的出现让制造价值链节点的分割更进一步。代工不是创新,专业代工(pure-play foundry)才是。 其实之前的IDM厂很多也都兼营代工,用以提高制造设备的稼动率(utilization rate),增加收入。如果不是这样,也很难理解为什么在80年代初、中期的IC设计、无晶圆厂(fabless)公司如高通(Qualcomm;1985)等如何开展他们的产品制造了。 专业代工模式初期的优势比较,展现于客户信赖与生态系的打造。一直到2000年后,DRAM先因为电容微缩的困难,拱手让出半导体产业技术驱动者(technology driver)的位置、Flash短暂的替手后,逻辑制程成最终的半导产业技术驱动者。这时候专业代工模式的技术研发规模经济开始发挥显著效益,与IDM的商业模式竞争,在多个半导体次领域中取得优势。 在半导体产业发展的一甲子中,产业发展的方向朝向解构产业高度整合的价值链,变成单独存在的价值链节点,如电子设计自动化(EDA;Electronics Design Automation)、制造设备、材料、晶圆制造代工、委外组装及测试等,并且在各价值链节点上,利用专注所造成的规模经济取得竞争优势。 特别是在逻辑线路的领域中,这个专注於单一价值节点的策略逐渐取得优势。但是这个模式并不是在所有半导体次领域中都灵光。譬如在功率元件令域中,IDM厂商还是主流,并且大多主要厂商都是从线路设计一路做到模塊(module);存儲器产品到目前也还是以IDM为主要经营型态,原因另外为文论述。 裂解垂直整合的价值链成为各自独立的价值链节点还能取得更高效率的运作和利润,自有它的深层技术原因:此时的半导体发展在核心的环节如设计、制造、封测等都还留有相当的物性和电性的余裕,各相邻的价值节点之间可以靠共同约定的标准界面来协作,毋需太多额外的沟通,因此独立、专注的价值节点经营可行,并且可以建立规模经济,特别是在技术研发方面。这一点对于以后产业型态的发展持续占有重要的影响力。
2025-02-19
2D FET:2D材料的考量
2D材料代表物质石墨烯(graphene)在2004年被发现,迄今已逾20余年。2D材料能被迅速被推上半导体界元件研发台面,学术界功不可没,未来还会是如此。 2D材料为何会被应用于半导体先进元件的制程之中?原因还是要克服先进制程的短通道效应(Short Channel Effect;SCE)的负面效果。 在通道尺度的微缩过程中,通道厚度也必须跟著持续微缩,就是减薄。但是变薄的通道会造成新的问题。首先,它会使SCE益发严重。另外,量子效应出来了。 具体的SCE相关负面效应包括漏电流(leakage current)增加、阈值电压变化(threshold voltage variability)、汲极感应势垒降低(Drain-Induced Barrier Lowering;DIBL)、载子(carriers;电子或电洞)通道狭窄等。 量子效应则主要指量子限制(quantum confinement),会在通道里形成新的离散能阶(discrete energy levels),因而使载子容易发生散射,降低载子的迁移率。 用2D材料来替代过於单薄的矽通道有点令人匪夷所思,因为2D材料乃是至薄之物-它只有单一层(monolayer)原分子。 以下面将述及的MoS2为例,它一层的厚度仅有0.7nm。 2D材料能够当成通道使用是因为2D材料的主要特性之一:它的原分子所形成二维的平面中,所有原分子的共价键在形成二维平面时完全与邻近原分子相互结合而耗尽,没有多余的、空闲的悬空键(dangling bond)。因而如果堆叠多层2D材料-譬如堆叠多层石墨烯变成石墨,层与层之间也只会产生微弱的凡德瓦力(van de Waals force;基于两层之间电偶极相互吸引的力,远比两层材料直接键结的力为弱),这是为什么石墨烯可以从石墨块材上用透明胶带(scotch tape)先粘住,然后再只撕一层石墨烯下来的原因。 材料若带有悬空键,容易吸附、聚积载子,对于流经附近的载子容易发生散射,降低载子迁移率,增加电阻及功耗。2D材料显然没有这个问题。像最先发现的石墨烯由于等效电子质量为0,迁移率高达106 cm2/Vs,接近光速的100分之1。可惜石墨烯是半金属(semimetal),也就是说即使FET闸极不施加电压,通道还是导电的。它不是可以用电场控制开关的半导体。 从已知的2D材料中选取合适的通道材料有讲究的,在传导性质上它先得是个半导体,再者它的载子迁移率要高,这是当FET通道的起码条件。 2D材料中有一个族群叫过渡金属二硫属化合物(Transition Metal Dichalcogenide;TMD),这是学术界最先研究的领域之一。经过上述两个条件的筛选,二硫化钼(molybdenum disulfide;MoS2)适合做n-FET的通道材料;二硒化钨(tungsten diselenide;WSe2)则适合p-FET,原因是2种2D材料在成长过程中如果有自然缺陷(defects)的话,容易形成相对的n、p电性,效果有如n、p掺杂(doping)。 有了合适半导体材料当通道后,还得有合适的金属与之匹配,在通道两边才能形成源极和汲极。主要的考量是在通道和金属之间要能够形成较低的肖特基势垒高度(Schottky barrier height),使得载子能顺利通过界面、降低电阻和功耗。依此选择条件,适合MoS2的金属材料为铜(Cu)和钛(Ti),适合WSe2的金属材料为铂(Pt)和钯(Pd)。 不过以上的材料考量是基于原先仅有已知1,000余种2D材料时的最佳材料选择。2024年发表的学术论文中,AI一口气又查找出50,000多种新2D材料,工程上的选择得重新评量一番。 在选定源极、通道、汲极的材料之后,自然还有许多的工程问题要著手解决,譬如如何将2D材料置放于晶圆上?先在其它地方生产然后转印(transfer)到二氧化矽上,抑或者直接在二氧化矽上直接用传统半导体工艺长薄膜?前者工序繁复,后者缺陷较多;工程从来都是妥协与取舍的考量。 2D FET什么时候会上场呢?Imec的技术路线路显示是在CFET之后;亦即在A7之后逐渐入场,到A2成为主流。 听起来有点天方夜谭,但是别让那些已经与现实量度完全脱节的节点命名所迷惑;N2不是真的2nm,A2也不是2 Angstroms。A2节点的半金属间距(half metal pitch;过去最早用以描述制程真实临界尺度的量度)大概在6~8nm之间,这长度至少还容得下25~30个MoS2分子共价键。 再进一步的2D FET演化可以是用2D金属材料来做源极和汲极,形成真正的2D FET—各FET全都落在一个单层平面之内了。材料选择的考虑因素除了上述尽量降低界面之间的肖特基势垒外,还要注意界面两边的晶格型态以及晶格常数是否可以容许顺利的键接。这些工程问题的解决方式的线索,首先来自于第一原理计算(first principles calculation)以及AI的材料查找,计算力变成工程实验的先导。 这里我们看到一个半导体产业有趣的现象。先进制程的应用绝大部份是为了高效能、AI芯片的制造,而这些芯片反过来又被用于半导体制程良率的提升以及新材料的开发。这是一个关系密切的良性循环,也许是半导体产业还能持续往more Moore这条路继续前进的新动力。
2025-01-24
智能应用 影音