智能应用 影音
DForum0809
DTR0829
林育中
  • DIGITIMES顾问
现为DIGITIMES顾问,1988年获物理学博士学位,任教于中央大学,后转往科技产业发展。曾任茂德科技董事及副总、普天茂德科技总经理、康帝科技总经理等职位。曾于 Taiwan Semicon 任谘询委员,主持黄光论坛。2001~2002 获选为台湾半导体产业协会监事、监事长。
量子点以及其应用
2023年诺贝尔化学奖,颁授予Moungi G. Bawendi、Louis E. Brus以及Alexei I. Ekimov,表彰他们在1980年代发现和合成量子点(for the discovery and synthesis of quantum dots)。  大概念来说,量子点是人工制造的「原子」(artificial atom)。  20世纪迄今,人类文明发展大幅度的依赖于电磁学,包括它所涵盖的电荷、磁、自旋、电磁波等诸种现象。对于用于承载、操控这些现象的物质,我们对其了解的基础知识是量子力学以及电磁学。人类对于这些性质的应用,大都是顺从自然的安排。譬如矽的带隙能量(energy gap)为1.12 eV,集成电路的栅极电压就设为比这数值稍高,用以开关晶体管。又譬如DUV雷射光源的氟化氩(ArF;Argon Fluoride)分子的能阶间隙是6.42 eV,所以ArF DUV曝光机对应的波长就是193纳米。换句话说,人类虽然开始掌握关于物质的部分知识,但是对于这些知识的应用,人类过去大致上是听从自然的安排,至少在那些物质的特性参数是如此的。 如果我们想「设计」物质的基础特性呢?譬如它的光、电荷、自旋等性质时呢?我们用以建构的基础单元—也就是类似乐高的积木块—仍旧是自然的原子及其形成的分子,只不过这次要使用基础单元数目要多得多,1个量子点可能要使用100~10,000个原分子来建构,这样制造出来的量子点大小直径在数纳米至100纳米之间。我们的付出的代价是较复杂的制作程序,以及较为庞大的单元尺寸;收益是可控、可设计的量子点的光、电、自旋等特性。这些特性可以藉由量子点的大小、组成材料、形状等来调整其内部能阶,而能阶正是物质的量子特性之一,是以名之。 可以设计出人工原子,自然也可以设计出人工分子、乃至于超晶格(superlattice)等更大尺度的结构。 量子点的制作材料过去以II-IV族、III-V族为主,譬如硫化铅(PbS)、硒化铅(SePb)、硫化镉(CdS)、硒化镉(CdSe)、碲化镉(CdTe)、砷化铟(InAs)、磷化铟(InP)等。  但是II-IV族量子点多含重金属,譬如镉与铅,对环境相当不友善,所以显示器中的量子点目前正转向III-V族的量子点,譬如磷化铟(InP)、硫化铜铟(CuInS)等。而III-V族量子点如当成生物中的体内(in vivo)当传感器或成像使用,可能有毒性或致癌,因此目前正寻找其他材质如矽、碳等,或者加以表面修饰(surface modification)以制作安全的量子点。  量子点的应用非常广泛,包括显示器、单电子晶体管(SET;Single Electron Transistor)、太阳能电池(solar cell)、LED、雷射、单光子光源(single-photon sources)、二次谐波生成(second-harmonics generation)、量子点量子位元(quantum dot qubits)、生医研究里的传感器及成像(imaging)等。  量子点显示器已经商业量产,制造方法与LCD差不多,只不过LCD中用来当背光(backlit)白光LED改为蓝光的量子点。制作流程先是在基板上以有机金属化学气相沈积法(Metal Organic Chemical Vapor Deposition;MOCVD)制作蓝光量子点,于这层之上制造并排的绿光量子点及红光量子点当彩色滤光片,另外留一处空缺透蓝光,形成RGB三原色像素。  量子点显示器有深黑色(deep blacks)、最佳视角(optimal viewing angle)、原始色彩(pristine colors),较省电、高色彩饱和度(saturation)、较宽色域(wider color gamut),寿命亦较长。目前市场上的竞争对手是OLED,但是未来分辨率再走向8k以后,暂时没有能涵盖如此广泛色域的对手。  量子点的2个前瞻性应用,分别是生物医疗研究与量子计算。  量子点于生物中可以用于成像、标记(label)、运送(delivery)、传感等功能。量子点具有明亮且稳定的萤光,而且可以调整其颜色,还可以附加功能基以锁定特殊标靶。由于其尺寸仅数纳米,不仅微米级的动物细胞可以轻易解析,连尺度与其相当的蛋白质也可以用量子点来标记研究。  一个有趣的应用领域是用来研究脑细胞及功能,这个研究领域又终将回馈到人工智能(AI)、类神经芯片(neuromorphic chips)、脑机界面等竞争激烈的尖端科技新领域。  只是如前所述,量子点于体内毒性的问题需要先澄清并克服。  量子点量子位元是被寄予厚望的量子计算技术,因为它不只是半导体兼容的技术—它本身就是半导体技术。如果原型开发成功,它可以立即利用目前成熟的半导体生产体系快速投入量产。  目前的量子点量子位元是自旋量子元(spin qubit),即量子点中约束1个电子,而且这个电子的自旋的状态可以被操控、测量,当成量子位元使用。  量子点量子位元的技术发展面临的主要挑战,是量子点量子位元之间不易形成量子纠缠,目前可以相互纠缠的量子点量子位元数一只手数得完。不容易被环境干扰的量子位元,也意味着不容易与周遭的量子位元形成量子纠缠。这是典型的工程问题—权衡两难以最佳化。 量子点此次获得诺贝尔化学奖实至而名归。它发现人工原子,使得人类拥有更进一步操控微观世界的能力,它对文明及经济的贡献已经开展在照明及显示器上,而它又可以成为促成其他领域新发现的工具,这些都是典型得奖作品的印记。  
2023/10/23
同步辐射能当曝光机光源吗? (二)
同步辐射所产生的光,是由电场加速带电粒子因而产生辐射产生的光,不像雷射是利用原子天然能阶之间的跃迁(transition)产生的相干光(coherent light),光源波长是可以控制、设计的。同步辐射产生光的波长,从远红外(far infrared)至hard X-ray,大约是10几微米到0.01纳米,这波段已足以处理矽基半导体制程的所有波长需求。高于DUV波长的光就不必讨论了—已有既存成熟的设备,不必再重新发明轮子。可以讨论的是现在EUV波长波段,以及将矽基半导体推向物理极限的几纳米波长的光。用同步辐射做为光源有个明显好处,即为光的亮度充分。只要加速器中心能稳定控制的电流够大—就是电子够多,辐射光的亮度便充分—这个可以立刻解决现在EUV产量不够好的窘境。另一个好处是光源成本的下降。一个同步辐射圆形加速器至少可以有十几、廿个出光口。一个同步辐射加速器要多少经费呢?1980年代台湾开始建造同步辐射时,预算是几千万美元;虽然后来还有追加预算,平均一个光源才数百万美元的成本。对于现在价格动辄上亿美元的曝光机台,这个成本不算是钱。但是同步辐射光源也面临现在EUV因光的能量较高,容易被物质吸收的问题。如果波长更短,问题愈严重。同步辐射在解决高能量光源会被物质吸收的问题上,可用的光径安排方式有几个。第一个,自然是与EUV相同的反射式镜面。但是因为波长不同,材料和镀膜必须要再调适;第二个,还是用透镜,但是透镜材质变成矽或锗,这样也能聚焦X-ray;第三个,是波带片(zone plate),片上有多个不同半径同心圆上的狭缝,X-ray通过波带片产生绕射(diffraction),因而聚焦。以上都是同步辐射既有的光学元件。但是同步辐射是仪器(instrument),而曝光机是量产设备(equipment),二者要求的精度、可靠性、成本等有巨大差距。同步辐射要做为曝光光源有2个大挑战。同步辐射基本上是个圆形加速器,出光口散布在圆周周边,这个格局与现在的晶圆厂的布局(layout)天差地别。如果使用同步辐射,会大幅更动晶圆厂的运作方式,甚至是一些界面规格。这也是当初半导体产业选择使用现在EUV的理由之一。EUV虽大,但是长的方方正正的,而且每部机台独立运作。第二个挑战是维修时的停机时间(downtime)。设备维修,停机理所当然。但是同步辐射加速器的维修会导致每个出光口同时停机,晶圆厂就处于关闭状况。在半导体产业眼中,这是只有在灾难时才会出现的状况。半导体产业的逻辑一般是将既存的技术和设备榨出最后一滴价值,最大幅度的降低设备折旧(depreciation)与技术摊提(amortization)。所以现在曝光机的发展主流是尽其所能的提高EUV的亮度以及分辨率,并且佐以其他非曝光手段如纳米压印(nanoimprint)与定向自组装(DSA;Directed Self-Assembly)等方法,以期能够支撑到矽基精细元件的物理极限。如果最终还是需要同步辐射来推进分辨率,是否花巨大的研发经费来开发,只供一、两个技术节点使用?这对于半导体产业将是个很艰难的抉择。
2023/10/16
同步辐射能当曝光机光源吗? (一)
这是近日网传的议题,只是国内官方已经出面正式否认。这座高能同步辐射光子源(High Energy Photon Source;HEPS)是位于北京怀柔的中科院高能所正在兴建中的第四代同步辐射装置(Synchrotron Radiation Facility)。中科院高能所于1984年开始在北京玉泉路兴建第一代装置,以后迭有升级。这已经是近40年前的旧事了。同步辐射是高能物理实验仪器的另类应用。原先的应用是利用电场加速电子,利用磁铁弯曲电子行径,并依圆形轨道运行。加速后的带电粒子对撞生基本粒子,主要是魅夸克(charm quark)。由于带电粒子被加速时会放出电磁波—也就是光,同步辐射装置也可以利用这些光探测材料及生物结构,这是目前的几个应用范畴。但是现在谈及要被应用于半导体制程中曝光机(lithography equipment)的光源了。考虑用同步辐射来当曝光机光源绝对不是新鲜事,X-ray光阻早在80年代就是研究的题目。90年代业界在考虑未来半导体曝光机的光源时,EUV和同步辐射都是曾被考虑的方方向。当初美国国防部高等研究计划署(DARPA)选择EUV,但是也有其他公司选择同步辐射,譬如IBM。在重新检视同步辐射是否适合当曝光机光源时,让我们简单回顾一下EUV的几个特性。EUV一般是指波长于121~10纳米的光,波长再短就是X-ray了。在EUV波长区域,并没有天然的材料与机制可以产生雷射光,现行的13.5纳米 EUV是以二氧化碳雷射照射掉落的锡液滴(tin drop)所激发的次级光源。由于EUV光的产生程序复杂,光的频率集中的程度远不如使用雷射光源的DUV,亮度(luminosity)也远远不如。亮度不足,曝光时间就需要较长,影响曝光机产出(throughput)。由于EUV光的能量较DUV高,容易与物质—特别是传统的透镜(lens)材料—发生反应而被吸收,光的传递依赖于多个有多层镀膜(multi-layer coating)的反射镜(reflection mirror)组成光径(optical path)并聚焦。对于半导体产业而言,这是一个全新的光学系统,这也说明为什麽EUV要发展20余年,最终才得以商业化的原因。即使用反射镜来建立光径,垂直镜面入射的光线仍然会被部分吸收。因此,光线最好以与镜面垂直线倾斜6、7度的角度入射。由于这个倾斜入射角,整个光学系统的数值孔径(NA;numerical aperture)就比较难极大化,目前的EUV其NA=0.33,与DUV的NA可以高达1.2、1.3存在巨大的差距。而数值孔径与分辨率(resolution)成正比。这是个关键的光学特性。由于目前EUV波长已经一口气推进到接近X-ray波长的上限,再要缩短波长恐怕要用新的物理机制产生新的光源—那可能是另一段20年艰苦的研发旅程,所以目前产业界的努力都集中2个面向,增加NA和增加产出。增加产出是个多面向的工作,包括增加光源的亮度、改变光阻的化学组成等;增加NA可以在不必缩短波长的状况下增加分辨率,目前的计划是从NA=0.33增加为0.55。以目前13.5纳米波长的EUV大概能做到哪个技术节点?这点是整个半导体产业共同的关心。当初在讨论DUV之后的曝光机光源时,当时已有摩尔定律已日暮的感觉,虽然之后又奋力推进这麽多年。理论上,一个光源的分辨率大概在光源的半波长。譬如第二代DUV ArF(argon fluoride)的波长是193纳米,理论分辨率就只有96.5纳米。但是透过多重曝光(multiple exposure)、过刻(over etch)、相位移(phase shift)以及浸润(immersion)在水中改变光的折射率(refraction index)等工程手段,193 纳米 DUV目前可以处理到7纳米的节点,问题是波长13.5纳米的EUV可以推进到哪一个技术节点?要注意的是现在逻辑制程的节点与早年以晶体管实际的通道长度(channel length)为命名已有所有不同,7、5纳米的通道长度在10纳米以上。目前节点是以1个晶体的总体表现,如速度、功率、热耗散、面积等因素来命名。这问题可以从问题的另一端来思考。如果精细结构装置仍然以矽晶为基础、以电磁学为控制手段,那麽矽基元件(silicon-based devise)的最小尺寸是可以粗估的。矽的共价键长度为0.111纳米。要组织一个元件的功能部分(silicon-based devise)—譬如通道—至少要有几十个原子的内部,要不然物质表面的性质可能就会影响物质内部应有的性质,因而影响元件预计的工作特性。几十个的矽原子就是几纳米的长度了,离现有的EUV的理论分辨率尺度并不远,这也是当初产业界一口气将波长推进至13.5纳米的考虑。如果对原分子的控制可以更精细、物质的表面性质可以被精确掌控,因而使用较少的矽原子也可以构成有效元件,这时在半导体制程演化至物理的自然极限前,光源的波长还留有一个小窗口,这个窗口的候选人之一就是同步辐射的光。
2023/10/11
我的德国同事们 (二)
我在台德合资公司的工作职责之一是策略谈判,譬如技术授权、合作开发、产能保留等合作。每隔一阵子就得和德国的夥伴谈判,协商新的合约。德国公司规模大,连谈判都有专业队伍。主要谈判成员由一个资深的美国人带头,主要负责美洲业务。另外有个英国人,负责欧洲业务;一个日本人,负责亚洲业务。奇怪的是,整支队伍没有任何德国人。经侧面了解,德国人认为自己不擅于谈判协商,所以策略谈判队伍清一色地由外国籍员工担任,这真是自知之明。我过去的个人的谈判经验是德国人思路条理分明,但是想定的事便不容易改变轨道,对于谈判中主要牵涉的利益交换形式也比较缺少弹性。有一次谈判其中主要的商业条件谈判已经完成,只待合约文字敲定。德国公司只派了一个德籍的内部律师来敲定文字。谈了一天,走不了两、三个条款,马上面临跨越不过的天堑,只好要求德方另派代表。一直以来与德国公司的谈判,我们都处于弱势方,没想到对方居然欣然同意这个请求,换了一个奥地利籍的外部律师,这可是要额外付费的。这表示德国人也明显知道他们不擅之处,这是「自知者明,自胜者强」了。也谈一下德国同事们对我们的看法。第一任执行副总在任职期满后,公司高管为他践行。问他对台湾的观感,他的回答是:「似乎台湾工程师喜欢承担一些风险,但是奇妙的是他们总是能完成。」临别无恶言,但是聆听到的弦外之音是台湾工程师—至少他经验过的—比较不一定照「规矩」。我也知道他对于在盖厂之初我们从空无一物的洁净室到装机、接管线、冲管、试机、建立一条单一机台式产线(single tool line),直到产出第一颗工作晶粒(working die)总共只花了92天,看得目瞪口呆的,这当时是世界纪录。总要回到时事,今昔对照。台湾的企业在全球供应链重组的过程中,无可避免的要触及供应在地化的议题。如果在欧洲要选择一个晶圆制造厂的厂址,我的首选是德国德勒斯登(Dresden)。这是上述合作德国公司的主要制造基地,另外还有几个其他半导体公司于此设厂。从业人员、基础设施、供应商群聚等条件早已成熟完备。新闻媒体报导称当地作业员无法接受两班制轮班,从来不是问题。德勒斯登半导体建厂、运作已有多年,自然有当地能接受的运作模式,剩下的只是适应和成本效率问题,这非艰难的管理问题。但是工会的确是个需要慎重对待的问题,尤其台湾企业过去比较少处理这类问题。欧洲的法律普遍注重劳工权益,政府奖励条例也常围绕着聘雇人数来订定。工会本身的作为也经常能影响公司的重大决策。那家德国公司的工会在董事会中就有几个席位,据说是以员工的退休基金取得公司的股权选出来的。一般而言,退休基金要求投资风险较低的标的,而投资自己公司的股票是将所有鸡蛋放在同一个篮子中:公司状况不佳时,工作和退休金会同时受影响。但此为工会在影响力与风险之间的抉择,而且也真的派上用场了。德国公司的CEO最后被走人,报导的原因之一是与工会不睦:CEO不满意德国的高营业所得税,扬言要将总部外迁,这下可惹恼工会。CEO能迁,大部分的员工可迁不了,只好让CEO自己迁了。千万妥善应对工会,要不就终将成为最大负担(liability)。
2023/9/26
我的德国同事们 (一)
我曾经服务于一家台德合资公司,经历缔盟、合作、对簿公堂又握手言和的各个阶段。对于德国同事们,我有远较于刻板印象(stereotype)深刻的体验。平地起工厂,待到可以迁入时,几乎所有自己有办公室的德国同事们书架上都满布数据夹。数据夹有几种颜色,连空的文件夹上的标签都预先贴好,彷佛一上任就有一个缺省的工作框架,一切井然有序。在那个尚无云端硬盘的年代,对于文件和数据分类和储存的如此执着,令我惊异着迷,立马学了起来。后来,果然派上用途了:在诉讼的过程中,我找到一份他们没有储存的关键档案—这份文件双方用传真往来,而传真用的是感热纸,用三孔夹钉孔不太顺当,德国同事因而没存留;我却是一以贯之,硬是比他们多存了这一份!这是师夷长技以制夷。说是德国团队,实际上派遣人员(assignees)是以德国人为主的多国籍人员团队,最多的时候合资公司有十几个国籍。这样的合资公司以英文为官方语言,乃理所当然的事,这自然包括公司的规章、数据、文件、档案以及会议语言,贯彻的程度可能超过现在很多正在全球布局的公司之努力。这家德国公司内部当然也使用英语。在它成立的170余年中,曾经设立超过1,000家子公司,并在全球超过190个国家营运,以英文为公司官方语言的政策早已行之有年。但是令我印象最深刻的是连2个德国人之间的电子邮件也全使用英文。有一次,派遣团队的在地最高指挥官执行副总,在一件事情的协商中要争取我的同理心,拿了他的电脑显示他们公司营运长给他的指令:You must support xxx!一连串的电子邮件全都是英文的书信往来。这种严格贯彻到公司最顶层的全球化政策,铭刻到骨子里了。除了驻地执行副总之外,几乎每个派遣人员都聘请中文教师于公余闲暇时间学习,这似乎是公司鼓励的政策。除了全球化的措施外,驻外人员的在地化似乎也是公司的政策之一。进驻厂房之后不久就过年了,然后初五依例祭祀开工。这位执行副总神态自若的加入拜天公的高管行列中。基督徒不持香,却也礼敬如常。据我所知,这是他首次被外派到华人地区,举止却从容自若彷佛预演过似的。后来才知道,这家在全球营运的老牌德国公司,内部聘有几位文化人类学专长人士。除了平常参与各地市场行销、政府关系等与在地文化密切相关的事务外,另外就是为外派人员准备好融入驻地的教材,这已是德国公司行之有年的做法。事实上日本甲午之战后接收台湾时,已先后在1895、1896年派遣伊能嘉矩、鸟居龙藏2位文化人类学家来台湾打头阵,了解风俗民情,做为治理的基础,这比我们时至今日才开始想聘地缘政治专家早了足足一世纪有奇。德国公司先后派了3个女性技术副总来台,先是技术移转、后来共同研发。第二个女性主管的经历颇有启发性。她比另外两位年轻许多,技术根底紮实。除了有时候爱使小性子外,没什麽好唠叨的。大概是她在此地任职的绩效优异,任期满后转任德国公司座落于法国的另一个合资晶圆厂总裁,居然让这厂转亏为盈—在法国欸!值得一提的是她接受的是德国双轨技职系统(duale ausbildung)教育,一面在工厂任职、一面在学校接受课堂教育拿的博士学位。这就是这几年产业界热议的技职教育体系。要设计、执行如此的技职教育体系并不难,不容易的是产业怎麽对待技职体系教育出来的学生。社会及产业必须能公平对待各种教育体系出来的学生,技职体系才有可能生效。这是为什麽德国技职教育屡屡为人称道,而台湾技职教育濒于消失的原因。这家德国公司向我展示双轨技职系统如何奏效的精髓。 
2023/9/25
越南的半导体旅程
在全球供应链重新布局之际,越南成为电子制造加值链的一个新环节,并为越南发展半导体意向增添几分想像。半导体的发展,可以依靠的不是终端消费市场,而是电子系统的大量制造。半导体的几个较发达的地区,从美、日、台、韩、中等无不经历此一过程。如此才有办法解释为何台、韩规模不大的国内终端消费市场,最终撑起如此巨大的半导体产业。越南人口近亿(约9,950万人),倍于台、韩,全球电子制造加值链的移转也是重要新节点。目前越南半导体产业已开始发展IC线路设计,如FAP(Financial and Promoting Technology;一家大型的信息服务公司)与国营的越南电信(Viettel)下的设计事业群/子公司。半导体制造方面已先进入后段领域,英特尔(Intel)已在河内投资封装测试厂,而且宣布将扩大投资。三星电子(Samsung Electronics)的封装测试厂设立于北部太原省(Thai Nguyen Province),2023年第3季已开始量产FC-BGA(Flip Chip-Ball Grid Array)。Amkor于北部北宁省(Bac Ninh Province)设立的封测厂将于第4季开始量产。这几个大厂的设立显现出「北存储器、南逻辑」的格局。至于半导体制造的核心晶圆厂,越南政府在优先次序上是置于IC设计之后的,据说是由于先进晶圆厂投资金额较庞大、生态环境要求比较严格、需要较长期技术累积的理由。但是上述的理由只适用于逻辑先进制程的12寸厂,对于毋需依托于先进制程的领域如半导体功率元件、矽光子等,这些原因并不太会形成巨大的进入障碍,这些领域是可以现在优先考虑的。以宽带隙(wide band gap)半导体为例,目前次产业的形成还在初期,先进者并无太明显的先发优势,加上产业的竞争方向比较倾向于材料的研究,较少竞逐新制程开发,研发经费并不需要在经营体量形成规模经济后才能累积足够盈余、自主研究,因此目前进入此领域也比较有机会在竞争过程中逐渐赶上领先族群。以越南这样一个半导体制造领域的新进者,在目前的既有的条件下应该先做几件事。第一,是立法投资奖励条例。目前越南并无类似的法令,也许有补助金,但是以行政命令补助,与依法规补助,对于投资者的风险评估是天差地别。特别是在目前的世界竞争格局之下,要建立、或者是要重新建立半导体制造能力的国家几乎都动用国家资本、以法令规范行之。补助办法就是一个费时的研究专案,不同的补助办法会导致不同得结果,而且有些是出乎预期的。兼之立法也需要时间,即使越南半导体制造能力发展优先次序排列较后,奖励补助条例依然是马上要开展的事。第二,是提升目前既存的科技园区,或者建立专用半导体园区,直到能支持晶园厂能运作的规格。科技园区是越南行之有年且有成效的措施,譬如Amkor的封测厂就设立于安丰工业园区(Yen Phong II-C Industry Park)之中。但是晶园厂有独特的需求,譬如极稳定的电力供应、特殊气体等。政府预先完成的基础设施对于投资评估是另一项吸引力。第三,是人才培育。人才培育需要先行于产业发展,而且前置时间长。大部分的人才培育需要公权力的运作,这也是马上要做的事。要切入半导体制造环节并且在其中存活下来当然不是容易的事,上面列的也只是必须先行的几项。但是也有要注意的地方:在政府的支持下仍然要保持合理的市场竞争,以刺激整体产业的活力,此乃半导体产业协会(Semiconductor Industry association;SIA)对印度政府的忠告,我相信对越南也受用。
2023/9/13
印度半导体奖励政策与发展策略 (二):以营利为导向的策略
半导体的产业发展其实是一个产业持续累积资金的过程,而只有盈利的企业才能够累积资金、扩大规模,最后足以用于自主研发,追上位于产业前沿的竞争对手。政府补助只能当成产业启动器(jump starter),却不能持续用于续命。美国半导体协会(SIA;Semiconductor Industry Association)之前访问过印度,给印度政府的建议之一是保持市场竞争性。唯有如此,才能培养出能长期在世界市场竞逐的公司和产业。一个产业的发展可能以十数年计。如果将容易进入营利的状况的因素纳入发展策略中考量,产业先发展领域的选择也许会与目前印度电子与半导体协会(India Electronics and Semiconductor Association;IESA)的建议不同。譬如扩大自有产品IC线路设计公司的占比。印度有丰沛的IC线路设计人力,至去年(2022年)为止,从业人员已达5.5万人,占世界IC线路设计从业人口约20%,人力资源充沛。IC线路设计工作也与基础设施较无关系,而且印度IC设计次产业已运作多年,大部分的障碍显然已经成功被排除。另外,相对于半导体制造,IC线路设计计所需的投资显然较小。惟印度庞大的专业队伍目前从事的业务大部分是IC设计服务,只有较少数的公司提供自己的产品。扩大自有产品IC线路设计公司在整个产业中占比,乃相对而比较容易成功的一种做法,而且所需的发展时间较短。利用正在逐渐移入印度的电子系统制造业的半导体需求所创造出的市场,可以提供自有产品IC线路设计公司的发展机会,这可以替代前述的政府销售补助或策略性采购的铺贴。某种程度也提高半导体自给率。在发展IC线路设计产业的同时,对比在特定地点政府先行集中建设必要的半导体制造基础设施,并且培养半导体制造及制程、材料研发人才,这些都是比较耗费时间的工程。这样的发展顺序虽然对于半导体制造能力的取得过程看起来比较迂回,但是商业成功的机会较高,而且稍后的半导体厂也在设立后不必同时面临基础设施缺乏、人力资源短缺以及半导体制程量产同时的三重压力。半导体产业加值链长、面向广,后进者不可能同时间开启多条战线。好的发展策略自然是依托自己已经具备的优势点、面,顺势扩大在整产业中的竞争优势环节。最后要强调一下,半导体产业的最基础本质是营利事业,不是军工业。标定取得某种特定技术却无法形成良性商业循环、自我支持持续发展到最有竞争力的领先群,如此发展策略容易导致失败,也不乏前例。制定产业发展策略以及相关的奖励政策时,须将欲扶植的次产业可能获利因素,放在更为优先的政策考量顺序上。(作者现为DIGITIMES顾问)
2023/9/5
印度半导体奖励政策与发展策略(一):奖励政策与发展意向
印度内阁在2022年9月21日发布〈Modified Programme for Development of Semiconductor and Display Manufacturing Ecosystem in India〉,用以支持其成为电子系统设计及制造(Electronics System Design and Manufacturing;ESDM)的世界枢纽(global hub)愿景。企业投资印度的常有顾虑之一,乃基础建设不足问题,则由于2020年4月1日公布的〈Modified Electronics Manufacturing Clusters Scheme〉(EMC 2.0)及其中的Common Facilities Center(CFC)来支持。先说基础建设不足的问题,单只是政策及补助是不容易见成效的,因为基础设施有很多部分不单只是投资可以解决的。譬如半导体所需要的高压线及水源,废水、废弃物处理,乃至于环保法规,都需要公权力的行使。这个部分由政府主动地作为先行启动计划、集中于一处提供较完整的产业基础设施、形成聚落等,是比较有效率的作法,可以省却投资者决策过程中的疑虑,并且加速投资决策后漫长的准备、申请程序。此类作法早有成功的先例,譬如台湾的科学园区,或者是国内的一些高科新区,都是政府先完成基础设施再招商,让企业的考虑单纯多了。至于发展半导体产业的部分,这个奖励条例可能有点误导之嫌。半导体与显示器虽然享有部分类似制程,却是两个截然不同的产业,产业的业务模式竞争样态差别甚大。不然也很难解释为何国内在发展半导体和显示器两种产业,呈现截然不同的结果。将两种产业的奖励政策以分别的条例来规范是比较安全的做法。印度有兴趣的半导体制造领域包括几类:第一类是逻辑,虽说是所有技术节点政策都支持,现在看来40纳米仅是可以接受,目标应该放在28纳米;第二类包括化合物半导体、矽光子、传感器(包括MEMS)和离散元件(以下统称特殊产品类);第三类是封测。对线路设计另有奖励办法,包括对奖励设计产业基础设施(infrastructure)的〈Design Linked Incentive Scheme〉条例,补助设计相关支出的50%;以及支持设计实施(deployment)的〈Deployment Linked Incentive〉,补助净销售金额的4~6%。印度电子与半导体协会(India Electronics and Semiconductor Association;IESA)对政府的建议是依次发展封测厂、特殊产品厂,最后才及于先进制程厂,由易至难,看起来井然有序。先进制程方面,IESA建议聚在28纳米上,这是摩尔定律发展过程中每一个晶体管制造成本最低的制程。先发展封测的原因是投入较少、雇用较多人数,次而特殊产品的原因是因为这些工艺过去的发展期较短,比较有机会迎头赶上。但是,如此简化的观点显然忽略规划产业发展应考虑入的细节。诚然,特殊产品的工艺有很多是8寸厂的制程,在传统半导体的制造工艺上看来并不太困难。但是这此特殊产品的晚出现,也有它的道理。譬如化合物半导体的SiC,出现在军用电子产品已有多年,但是SiC晶圆生产困难,良率较低,以至于现在用SiC做的功率元件,其晶圆成本还占元件制造成本的一个相当百分比。类似这样的例子不胜枚举。也就是说,单只是从半导体制程的先进与否来探讨产业发展策略,并非是一个全面的衡量标准。制程简单而晚出现的产品自然是有其他的障碍妨碍它的问世,所以要进入这些领域要有其他投入的准备,譬如半导体材料的研究与开发。即使被视为第一步的封测,也要有类似的心理准备。
2023/9/4
假如室温超导体是真的?
Nature News在2023年8月16发表的新闻以〈LK-99 isn’t a superconductor — how science sleuths solved the mystery〉为题,引用许多验证实验的文献,对于前一阵子在国际学术界、产业界引起的室温超导体骚动,算是暂时划下休止符。超导体在其临界温度(critical temperature)下要同时具有2个物理特性:1. 零电阻,所以电子在流经超导体时不会发热。2. Meissner effect,当有外加磁场时,磁场无法延伸入超导体内。我们经常看到的科普片中一个超导体悬浮于磁铁之上,即为此一效应的视觉展示。超导体现象的发生以前,是需要极严苛的周遭条件,譬如极低温或极高压。也有理论来描述这现象:BCS理论(Bardeen-Cooper-Schrieffer theory)来描述,这是1972年物理诺尔奖得奖作品。需要极端低温的环境下,才发生超导现象严重的限制超导体的应用—因此从1911年发现超导体现象迄今,物理学家致力于发现临界温度较高的超导体的材料。这百年最标帜性的突破是Georg Bednorz与K. Alex Muller于1986年发现的陶磁超导材料(1987年诺贝尔奖得奖作品)及随后朱经武的钇钡铜金属氧化物(Yttrium Barium Copper Oxide)。之后虽然有新材料持续提升临界温度,但是关于其物理机制存有分岐,没有令人一致信服的理论。这其实很大程度的减缓临界温度的提升—没有理论基础的实验尝试,有时看来像是炼金术。Nature News的文章用那些检验实验的结果,简单解释为何LK-99非超导体:韩国团队所看到的部分悬浮(partial lifting)现像是铁磁(ferromagnetism)机制;材料本身其实是绝缘体。看到的电阻在特定温度下骤降,乃因样品中掺有硫化铜的杂质,在那特定温度时硫化铜产生相变,造成电阻骤降。没有杂质的样品,是看不到电阻骤降的现象,这就暂时结论学界目前的扰动:LK-99不是室温超导体。但是如果真有室温超导体,最该立即投入研发的应该是半导体产业。半导体发展迄今,各方向发展的瓶颈几乎都集中于散热问题。半导体发热的来源,简单归结有2个。首先,是晶体管于0与1状态切换所需的能量,每次运作大概花1 fJ(femto Joule,10的负15次方)。看起来数量级很小,但是考虑到现在1片芯片上晶体管的闸极数(gate count),动辄以tera(10的12次方)为单位;而晶体管的运作速度可以达到ns(10的负9次方)等级,也就是每秒最高可以有10万亿次运作,发热量便相当可观。但是,更大的发热源是焦耳热(Joule heat),也就是当电子通过金属时因为电子碰撞晶格产生的热耗散。这个热耗散存在于芯片与芯片之间的金属连线,譬如数据在CPU、DRAM、NAND Flash之间的穿梭来回—这其实是一个电子系统中最大的热耗散来源,也存在于单一芯片之中。现代的IC芯片中有许多的信号线和电源线。现在的新工艺之一:晶背电源分配网络(BS-PDN;Back-Side Power Distribution Network),将供应晶体管运作电源的线路从原先的金属在线层,移到晶圆背面,使之比较接近坐落于晶圆底面的晶体管。单只是这样的缩短电源线的长度,就能大幅降低芯片的功耗和热耗散。假如室温超导体存在,最该立即投入研发的应该是半导体产业。单只是以室温超导体材料替代目前单一芯片中的金属连线,以及在先进封装中用以连络芯片之间的连线,如此造成的导体价值增进就远超过目前所知超导体的其他的应用价值。当半导体产业制程微缩的路走得日益艰难,先进封装以及新材料必须分担半导体创造新价值成长的责任,而室温超导体显然是新材料领域中,可一举解决目前半导体各方案中最大的共同瓶颈—热耗散问题。虽然此次的挫败显示室温超导体的路途还长,但是室温超导体的利益巨大,作为已走到世界最前沿的几个半导体龙头企业,前瞻研究中室温超导体可以开始考虑涵盖此一议题了。 
2023/8/29
产品标准规格对现代半导体产业景观的形塑 (四):统一标准建立的挑战
车用半导体零件标准制订,存在一定的挑战。第一个自然是供应链区域化的趋势。车联网是未来汽车发展的基础框架,目前国内已在多地建立车联网的先导区。国内系统以外的市场是否会采用相同或类似的标准呢?存疑。这可能分裂未来的产业统一标准,乃至于市场。第二个挑战是虽然电动车销售量已超过1,000万辆,但是产品的概念仍存在高度流动性。也就是说,电动车/自驾车的产品概念仍在快速演化之中,这也不是全然的向壁虚构。电动车/自驾车目前的演化方式像过去的手机,最大程度的将既存的可携电子系统全部吸纳进系统中,多少也会依存于既定电子系统的标准。譬如网络的技术无论如何,也是要基于现行5G技术标准,只是特化于汽车的应用,这样车联网的技术就有粗略的一个技术标准框架了。但是电动车/自驾车更精细的功能犹存有相当的空间,车用半导体零件标准制订必须对这些创价空间留存弹性。挑战还来自半导体本身技术的快速进展。半导体技术不再只依循制程微缩的单一增值路径,增值的方法另外还有使用新材料、先进封装等方法。以已经使用先进封装多年的CIS (CMOS Image Sensor)为例,这是在汽车中已经开始提高用量的传感器。目前的CIS至少包含像素阵列(pixel array)及影像信号处理器(ISP)2个芯片,以先进封装的方式相结合。由于先进封装技术的进展,堆叠3个、4个芯片—譬如再加上DRAM以及做边缘计算(edge computing)的逻辑芯片—乃至于更多的芯片,都可能在可见的未来发生。封装后的产品,不只是效能参数改进的问题,更是功能变化、扩充的问题。虽然过去其他产品标准的订定也会配合半导体制程的演进而渐进式修改,譬如SDRAM、DDR、DDR2、DDR3 等的演化,但是总体的架构变化是渐近式的,而且每次标准的使用也稳定好一阵子,系统和半导体零件业者都可以使用新标准获得相当回报。然而,车用半导体的变化有可能比较快速而激烈,这对于半导体零件标准制定形成挑战。做为系统厂商的汽车厂商要垂直整合半导体到哪一个价值环节比较有经济效益?如果不考虑地缘政治的因素,我认为到ADAS 或L3、L4自驾芯片的设计也许是个好的界线,这是总结手机公司发展经验可以得到的结论。整合到此部分,系统公司已足以掌握系统核心价值的创造,譬如Tesla的半导体垂直整合目前便止于L4的芯片设计。如果汽车公司再深入半导体制造部分,就容易面临要同时具备多种核心能力-包括汽车设计、制造与半导体制造-的挑战。而半导体的环节也必然会面对规模经济不足的窘境,毕竟竞争对手是不会采用对手设计、制造的半导体零件的。以此来考虑车用半导体零件统一规格标准,在汽车的ADAS/自驾芯片定义界面标准会是一个比较合适的起点。从此以下的半导体零件,制定较为有弹性的架构及可靠性规格。讲架构是因为半导体技术部分还存有流动性,架构性的标准比较容易去接纳新的技术以及相应的新增产品功能;可靠性更多的是针对汽车安全的相关规格。衆所周知,汽车对于安全性的要求近乎完美,而可靠性只是对于安全性的基础要求之一。当硬件的标准订定之后,车厂比较能减少责任的风险,它也会让法律的修订、保险产品的设计因有硬件的依据加快进行,而这些非技术的因素是自驾车正式问世的最大难题。
2023/8/24