智能应用 影音
hotspot
member
林育中
  • DIGITIMES顾问
现为DIGITIMES顾问,1988年获物理学博士学位,任教于中央大学,后转往科技产业发展。曾任茂德科技董事及副总、普天茂德科技总经理、康帝科技总经理等职位。曾于 Taiwan Semicon 任谘询委员,主持黄光论坛。2001~2002 获选为台湾半导体产业协会监事、监事长。
产业垂直整合要多深? (二)
智能手机问世后,产业又重启考虑垂直整合的议题。 PC有较长的历史,而且CPU寡头垄断企业的地位近乎无可撼动,电脑业者可以藉垂直整合主要半导体元件入价值链,差异化本身系统产品的机会并不大。 相对的,智能手机当时才开始起步,主要厂商藉其销售量来支持其手机CPU的设计,用以差异化其产品的功能,如苹果(Apple)、三星(Samsung Electronics)、华为等。垂直整合的考虑再度浮上台面。 不过这次的价值链垂直整合只及于IC产品设计这一层,而半导体制造环节则选择利用已逐渐发展成熟的代工平台。手机IC设计主要在CPU这一块,CPU大幅度决定手机功能,是手机企业核心竞争力的重中之重。垂直整合止于IC设计此一环节,能避开半导体制造环节必须自已持续投入巨额研发费用的无底洞,这是手机系统业者最合宜的价值链垂直整合长度。 后来的汽车产业也有类似的企图,特别是在电动车/自驾车的领域,包括Tesla、比亚迪、小米等企业。这些电动车的终极目标自然是自驾车,包括各级别的自驾芯片,譬如Tesla的FSD(Full Self-Driving)芯片及软件,也许会更积极扩张至AI平台,譬如Tesla的Dojo芯片及超级电脑系统。能设计车用的核心芯片及其运作统统,似乎也可掌握未来汽车产业的核心竞争力。 但是汽车与其它电子系统有根本性的差别。虽然未来电动车/自驾车有时候被戏称移动的电脑,但是它基本上它是人的载具,牵涉到人身安全,因而引发后续的法律、风险与保险等相关问题。人命牵涉到文化中最基本的价值问题,而各国在此方面的认知存有分歧,这些分歧也可能造成市场的碎片化。另外,这些价值的认知即便在同一国家中亦可能存有差异,也可能造成市场发展缓慢。 从这个问题出发,统一半导体元件标准也许是建立规模经济的解决方案。虽然在统一标准的过程中由于各地法律和文化的差异会比较困难,但是在标准统一之后,在各地的法律修定、保险规章费率、系统环境支持等方面可以快速发展,这是扩大整体规模经济的方式。也就是说,对于攸关安全的半导体零件以及人工智能、运行平台制定统一的标准,而产品的差异化及竞争力则置于其他面向。目前已有几个机构正在推动制定汽车半导体元件的标准,譬如国际半导体产业协会(SEMI)。这也许是Tesla在此阶段就将FSD软件公开授权的原因,目前已经有许多车厂宣布支持此一方案。Tesla在此阶段始推动统一标准无疑是深思熟虑的结果:目前尚未达到需要大幅牵动法律修改的自驾程度,此时先推动标准的统一,阻力会少很多,最多只是商业考量,而非汽车企业较难着力的修法程序。等到统一标准形成、变成既成事实后,修订法律也会比较容易进行。而Tesla Dojo芯片和系统,也专注于影像识别的AI,这是自驾车系统最基础的平台功能之一。 有些汽车企业甚至垂直整合入晶圆制造厂的环节,譬如博世(Bosch)和比亚迪。这会重蹈以前系统厂商与半导体厂终归分离的覆辄吗?不一定。 这几家的晶圆厂都是以功率元件为主要产品,包括 power MOSFET、IGBT和SiC等。功率元件的获利方程序与前述典型的藉持续投入研发、快速推进制程以获取超额利润的手段不一样。这不是说功率元件不需要研发,只是比较集中在元件的结构与材料,而呈现的结果主要是耐压、电的性能和可靠性的提升。  功率元件的制造主要在8寸厂,制程也还停留在0.20~0.25微米以上,这是因为要耐高压、电需要较宽的线幅,提高元件性能只能靠元件结构和材料。以IGBT为例,从1980年代出现迄今,总共也只经历7个时代。它的演进远较逻辑和存储器产品缓慢,研发经费可以在较多年限摊提。 在功率元件的领域,研发的规模经济门槛较低,这也解释在此领域还存有大小不等的IDM公司的原因。 汽车企业垂直整合功率元件半导体制造厂在,短期间内可以缓解过去几年在车规功率半导体供需不平衡的问题。但是长期来看,汽车的核心价值会往AI与网络倾斜,能源的变换会变成标配,这个垂直整合是否合理还有待观察。 另一个有趣的议题是有些IC设计公司宣称他们是系统公司—另外的其实只是不做声响、悄悄在做而已,在异质整合成为技术演进主流的年代,这个趋势几乎无可避免。电子系统的核心功能将被整合在单一的先进封装内。电子系统产业与半导体产业如何在长价值链中分工或融合,这是企业该开始问一问自己的议题。
2024/4/9
产业垂直整合要多深? (一)
一个产业的加值链通常包含多个加值节点,一个产品/服务的最后价值,即是这些个别加值的总合。传统的企业竞争策略理论会告诉你一个企业能够整合进比较多的主要加值环节、成为企业核心能力的一部分,企业的竞争力会比较强。而且,在面临产业加值链变迁时,企业比较有韧性,更能争取时间以及资源去因应变迁。  半导体自成一个产业,2023年产值到达约6,000亿美元,与PC、手机、服务器等电子系统产业是同一个数量级的产值;另一方面,半导体又是各电子系统产品产业加值链的一环。于是电子系统与半导体的垂直整合,便反覆地成为产业内的策略考虑之一。  70年代主要的美国半导体业者是英特尔(Intel)、德仪(TI)和摩托罗拉(Motorola),其中TI有消费性产品,也有政府契约的产品;摩托罗拉是通讯公司。当时系统公司投入半导体产业有两主要目的:1.投入新兴的关键科技;2.公司核心能力的垂直整合。这两个主旋律在产业内重复出现。  80年代日本主要的半导体公司如NEC、东芝(Toshiba)、日立(Hitachi)、富士通(Fujitsu)、三菱(Mitsubishi)等,以及韩国的三星(Samsung Electronics)、现代(Hyundai)和Gold Star,其背后无不有电子系统公司的身影。倒是台湾80、90年代的主要半导体公司绝大部分都是单从投资新兴关键科技的观点出发,这对于后来的发展影响巨大。  垂直整合当然不是企业在产业内竞争的唯一致胜手段,如规模经济等也会影响竞争的结果。  半导体产业是高科技产业,获得超额利润的主要手段,是藉先于同侪利用尖端技术推出性能更优越的产品,而这种型态的竞争是持续的。持续的技术研发需要巨大资金不间断的投入,商业机构的资金自然是来自于营业利润的累积,营业额的规模大致决定能投入持续研发经费的尺度。在愈接近物理尺度极限时的研发工作变得更为复杂艰难,此时规模经济的因素就变得格外显着。  对于此规模经济考量的因素下,80、90年代最大的次产业DRAM以及逻辑芯片分别演化出不同的样态,以取得在规模经济考量下的最适应模式。  DRAM次产业采取在产品界面标准化策略,促进规模经济的发生—DRAM变成大宗商品(commodity)。大宗商品在流通、用量上较诸专用商品上有天然的数量优势,进一步确立规模经济。  如果半导体产品与电子系统厂商垂直整合,半导体产品的销售原先有竞业的问题—很难想像,譬如,三星手机设计的CPU芯片苹果(Apple)愿意使用。但是因为DRAM界面采用统一标准,竞业因素变得不重要,因此半导体厂产品的总体潜在市场(TAM)扩大了。如此也有负面效果。DRAM产品界面标准化后,原先在系统价值链垂直整合的综效就被打了折扣:大宗商品可从市场中择优取得。  另外,领先的DRAM公司还利用DRAM与2D NAND Flash制程的相似性,跳跃性的扩大存储器制程的研发规模经济,一次性的拉开与存储器产业中第二梯队的差距,形成今日存储器产业三足鼎立的态势。  逻辑产品品类比较分散,过去主要产品CPU处于寡占状态,近乎虚拟的统一标准;其他产品次市场的份额较小,即便统一产品界面标准也难以形成有效的规模经济。所以逻辑产品采取不同的途径来取得规模经济:共享相同或兼容的制程平台,这就是代工次产业概念的滥殇。  存储器产业以统一的产品界面标准,以及代工产业以共享的制程平台,形成各自规模经济,也反转电子系统产业垂直整合半导体的原先企图。
2024/4/3
核融合电能何时能商业运转? (二) —产业的进程
核融合反应炉的研发起始于50年代。相较于英国在1956年已经开始商业运转的核分裂反应炉是晚了不少。  早期核融合反应炉的最大问题在于电浆的约束:哪种机制可以约束住温度高到几乎可以融毁一切物质的电浆? 延伸报导名人讲堂:核融合电能何时能商业运转? (一)—核融合反应炉的工程挑战当时的核融合是当成基础科学议题来研究的。核融合反应炉何时可以商业运转发电?这个问题在上世纪的标准回答都是30年后—意思是还早着呢,一次一次接着跳票。  最近的氛围已有显着变化,近年来市场资金总计投入近50亿美金用于核融合反应炉的研发,目前以此为主题的新创已接近40余家。  近年来最令人振奋的消息之一,是2022年12月5日美国劳伦斯利佛摩国家实验室(Lawrence Livermore National Lab;LLNA)与国家点火设施(National Ignition Facility;NIF)合作的核融合反应有净能量收益(net energy gain)。  此次实验采用的约束机制为ICF,共192管红宝石雷射以圆对称射向置于圆心的原料颗粒(pellet)均匀加温。投入的雷射能量为2.05百万焦耳(MegaJoules;MJ),产出的核融合能量为3.15MJ,能量增益系数Q=3.15/2.05>1.5,核融合反应本身的确能释放出能量!这是个里程碑式的实验。  负责任的媒体还会加注其实那2.05MJ是由300MJ的电能产生的,遑论若依传统能量转换途径,核融合能得先转换成热能、热能再转换成电能,转换成电能的效率还得打一个大折扣。若真能成为发电设施,不只是反应炉,整个系统要有净能量增益。这样算来,粗估的核融合反应炉的净能量增益至少要Q>10才能涵盖系统中其他的能量消耗。商用系统还有一段路要走。 无论如何,原来是基础科研的问题转变成工程问题。工程问题可以分而治之(divide and conquer),研发速度因而加快。譬如LLNL与NIF的计划中的红宝石雷射若换成二极管雷射,能源输出效率可以提升30倍,这样就是稳稳向前迈一步。  另一个促使进展加快的因素是新创的投入。这些新创与公共机构形成夥伴关系(public-private partnership),专注于一些特殊核融合反应炉发电的机构、机制或原料等技术,可以基于公共机构较周延的基础科研结果,快速进入商业运转阶段。  当商业资金开始投入一个新技术时,由获利动机驱动的研发显示加速进展的可能。最近一个例子是量子电脑的发展。  IBM在发展出第一代、第二代量子电脑时,预计的量子算力是以每年倍增的指数成长,这已是比摩尔定律—每18个月倍增—更积极的技术路标。发展迄今其实现状比这技术路标快多了!  另外一个看起来比较不显着,实质上很重要者,是机器学习已经投入核融核反应炉的研发,最主要的两个领域是在材料开发和反应炉结构,以及核融合反应参数的优化。  所以,核融合反应炉何时可以开始商业化?最乐观的是2030年初期,这个日期出现在一些新创公司网页和新闻。保守些的呢,有生之年。但是这不是以前谈的30年后,因为持这样主张的人也同时谈2050年的碳净零排放,核融合反应炉发电不再是遥遥无期的。 (作者为DIGITIMES顾问) 
2024/3/11
核融合电能何时能商业运转? (一)—核融合反应炉的工程挑战
 原子是以原子核中的带正电质子的数目来决定原子序的。原子核中除了质子外,还有数量大致相仿的中子,这些质子与中子以强作用力(strong interaction)束缚在一起,这就是核结合能(nuclear binding energy)。  核结合能的物理基础强作用力,在短距离内比化学作用的物理基础电磁作用强100倍,因此核反应的能量远大于化学作用的能量。  铁(原子序26)的同位素群与镍(原子序28)是元素中平均核结合能最高的,也就是最稳定的元素。以铁同位素群为例,核结合能可以高达8.8百万电子伏特(MeV)。物理驱使物质转变成较稳定的结构,所以原子序比铁高的原子就会透过核分裂(nuclear fission)转变成较小的原子;而分子序较小的原子则倾向透过核融合(nuclear fusion)转变成原子序较高的原子。前者已应用于现今的核能发电,而后者就是目前全世界研发开始升温的核融合发电。  核融合为什麽比核分裂更具吸引力呢?第一个原因是核融合的过程及其废料有较低的幅射性。第二个原因是如果核融合反应炉无法正常运作,它不会如核分裂反应炉因连锁反应(chain reaction),导致核反应炉融毁(nuclear reactor meltdown)而近乎无法收拾。核融合反应炉无法正常运作时,核融合反应停了就停了。另外还有个原因是核融合反应的原料,近乎取之不竭、用之不尽。  最常使用的核融合反应的原料是氘(Deuterium)和氚(Tritium),二者都是氢的同位素,也就是说和氢原子一样,每个原子核都含有一个质子,但是氘和氚的原子核还分别具有1个和2个中子。使用氘和氚当成核融合反应原料的原因是它的散射截面(scattering cross section)—也就是核融合反应发生的机率最大,所释出的能量最多,高达17.6MeV。  氘在自然中稳定存在,可以从海水中提取。但是氚具有放射性,而且半衰期很短,只有12.3年,自然界中只存有30~40kg,所以核融合反应炉必须在反应的过程中自己产生足够的氚,以维持连续的核融合反应。这是核融合反应炉设计时必须考虑的因素之一。  核融合反应时需要较高的温度,氘和氚在此环境下以离子的形态存在,也就是氘和氚中的原子核和电子是分离的,这就是电浆态(plasma)。氘离子和氚离子都带有一个正电荷,它们之间存有库仑排斥力。这就解释为什麽氘和氚被选为核融合反应原料的原因:其排斥力最小,但是原子核较大,较容易碰撞,而且碰撞机率高。  要克服电磁互斥力让氘离子和氚离子进行核融合反应必须符合一定的条件。基本上要离子的密度、温度和其能量约束时间(energy confinement time)的乘积大于一定数值,这是核融合反应炉能维持稳定运作的条件,术语叫「点火」(ignition)。  能持续维持核融合反应的温度大概在10~20keV之间,约等于8,000万度到1.6亿度之间,这比太阳核心的温度还高。要维持这样高的温度,以及高的离子密度,必须把离子束缚在一个有限的空间中,这就是核融合最核心的工程问题之一:约束(confinement)。约束的方法比较多的是用磁场(Magnetic Confinement Fusion;MCF)来约束离子的行径;另一个是靠惯性(Inertial Confinement Fusion;ICF),利用震波(shock wave)来压缩及点燃离子;还有二者的混合形态MTF(Magnetized Target Fusion)。为了提高磁场,高温超导(High Temperature Superconducting;HTS)胶带被用于磁约束核融合反应炉上。 由于离子的集体形态电浆比较接近液体,而处于特殊状况的液体会产生较为激烈的行径,譬如扰流(turbulence)。离子的稳定性一直是核融合反应炉的一个工程挑战。  氘离子和氚离子反应后产生氦离子(即是阿尔法粒子)和中子,其中氦离子擕带核融合约5分之1能量,之后转移能量让原料能维持在高温、可以持续核融合反应。但是氦离子得想法排掉,避免影响后续核融合反应的发生。  中子以动能的形式携带约5分之4的核融合能量,这是核融合反应炉产生能源的主要形态。中子不带电,不受磁场束缚,会四向逃逸。想利用它的动能转化成一般涡轮机可以使用的能量,得用防护墙先拦着,将其转化成热能。  另外由于前述的原因,氚必须在核融合反应炉中自己产生,防护墙上得覆盖含锂元素的繁殖毡(breeding blanket)。当中子撞击到锂时,会产生氚。中子在整个核融合过程中可能会消耗、流失掉一部分,繁殖毡上还必须加入铍或铅元素。当中子撞击到这些元素之后,会产生2个中子,这样中子的数目就得以增加,让核融合反应炉中的氚得以持续补充,维持反应炉的持续运作。  这大概就是主流的氘-氚磁约束核融合反应炉所需面临的主要工程挑战。  
2024/3/4
日本半导体产业的文艺复兴之路(二)
日本政府引进国外半导体业者投资的效果相当显着,几乎国际间各大半导体制造公司都报到了。另外本土公司联盟组成的公司Rapidus也将目标置于尖端制程的开发。  这些晶圆厂的兴建对日本半导体的贡献,刚开始时比较是稍为间接的。在恢复产业生态、扩大机器设备、材料内需市场、以及支持日本IC设计公司方面等都当然有帮助,但是对于自有的先进制程研发却得看Rapidus的表现。 延伸报导名人讲堂:日本半导体产业的文艺复兴之路(一)Rapidus一开始就瞄准2纳米nanosheet GAAFET(Gate All Around FET)的最先进制程,和IBM与IMEC合作,预计在2027年左右量产。1.5/1纳米需要不同的晶体管结构,将和LETI(Laboratoire d’Electronique des Technologies de l’Information)合作,用2维材料过渡金属二硫属化合物(Transition Metal Dichalcogenides;TMD)当成晶体管中通道(channel)材料。  Rapidus面临的挑战之一是参与制程研发的各方皆无量产经验。IBM最后的量产晶圆厂卖给格罗方德(GlobalFoundries)是2015年,其他各方要不是新创,要不就是实验室类型的研发机构,要走矢量产注定要多花一些工夫。  然而,Rapidus最大的挑战是有无办法快速地建立规模经济(economy of scale)。先进制程的研发极其昂贵,代工厂其实是以用IC设计公司客户资金实施众筹,进行下时代制程研发。因而到14纳米以下,全球市占不足的公司纷纷停止先进制程的竞逐。  对于2纳米以下的先进制程的开发尤为如此。2纳米是nanosheet GAAFET,1.5/1 纳米是2D通道晶体管,1 纳米以下可能是CFET(complementary FET)。几个时代间的晶体管的结构、材料、制程都是翻天覆地的大变化,若无足够大的市占便无法产生足够的盈余,无力推动下时代制程的开发。即使凑了开发费用,没有足够的市占也无法回收,遑论建立代工生产所需要的诸多生态环境如设计服务、先进封装等。  先进晶圆厂的建立无疑的会提高日本机器设备厂商的市占率,有些晶圆厂已经设立日本国内设备采购占比的目标。  这个因素影响相对比较轻微,重要的是日本在黄光这一大区块是否能重新启动。2023年Cannon推出纳米压印(Nano Imprint Lithography;NIL),分辨率可以达到5纳米,预计2025年会先在NAND Flash的制造中使用,但是预计无法完全取代EUV。要打入DRAM及逻辑线路的市场还要在对准(overlay)以及粒子(particle)问题上下工夫改善。 延伸报导名人讲堂:纳米压印的初始应用 (一):技术与挑战另外一个领域是日本有机会得分较多的是先进封装设备。日本的先进封装设备相对领先,而制程持续演进、先进封装、新材料是现代半导体经济增值的三大支柱。先进封装市场的自然增—譬如现在当红的生成式AI(generative AI)就一定要使用先进封装—自然提升在此领域的优势厂商的市占表现。  最后是材料。日本于此部分环节犹仍如日中天,却有危机隐然浮现。明处的是来自于国内的威胁,国内的材料基础科研发表论文占全世界约14.5%,比美国多1倍,而国内目前正在进行材料、设备的自主化。这一定会影响到日本,只是时间早晚的问题。  更深层的理由是材料的合成与制造正在经历典范转移。第一原理计算(first principles calculation)、AI与量子计算等用计算的方式正在逐渐颠覆传统的合试误方式,工艺精神的优势正在逐渐弱化。虽然日本于先进计算并不落后,譬如富士通(Fujutsu)用数码退火(digital annealer)来辅助材料开发仍然领先全球,但是产业的典范移转就意味着变动的可能性。  先进半导体材料的应用考虑与传统材料有些差异:材料界面性质到与块材(bulk)性质至少一样重要,而且很多材料的使用是依赖半导体制程设备。  日本的材料研发比较愿意做长期部署,而半导体厂商求的多是短期内有机会进入应用的材料候选人,这二者密切的结合,会深度地互相嘉惠对方。 先进晶圆厂在日本开始发展的新闻中最令我有感的是台积电与三星电子(Samsung Electronics)都在日本设立材料实验室,这可能是对日本材料产业最大的立即助益,而且助益是互相的。
2024/2/5
日本半导体产业的文艺复兴之路(一)
当我进入DRAM产业时,那时最负盛名的半导体产业分析报告Dataquest,列出DRAM产业厂商排行:90年代下半,三星电子(Samsung Electronics)已然出头,NEC和东芝(Toshiba)还分居二、三,前十名中尚有其他日本厂商。这看似犹为优裕的景况,仅仅已是日本半导体产业的落日余晖。  再往前10年,日本半导体正当是花团锦簇、油烹鼎沸时分,半导体产品占据全世界50%的市场,机器设备厂商自晶圆制造到封测都是独占鳌头,材料更是处于宰制地位。整个半导体供应链,除了80年代末期才开始萌芽的电子设计自动化(Electronic Design Automation;EDA)之外,几乎是完整而且占有绝大优势的。  经过20几年的凋零,现在日本的半导体产业景况又是如何呢?简单的讲,可以用1、3、5这3个数码一言以蔽之。1是指半导体生产占全世界市场的百分比近10%、3是指半导体制造机器设备约占30%、5是指半导体材料约占50%。  这个1、3、5看起来贫脊吗?一点也不会。10%是什麽概念?高的如韩国,近20%;日本与欧盟并列,近10%;再次是台湾、国内。  日本半导体制造虽然不能与全盛时期相比,但是在有些特殊领域如功率器件、车用半导体等尚有一席之地。欠缺的只是先进制程技术及产能,这也是日本政府及产业界努力推动的方向。 另外,日本的IC设计公司也嫌不足。90年代后,日本从DRAM产业转向系统IC后,发现设计人力不足的问题。一家大的DRAM公司基本上只需要几个IC设计团队就已经足以满足产能需求,因为DRAM是标准产品,而且市场规模大;系统IC的样态较多,市场比较分散,需要更多的IC设计团队。目前日本的IC设计次产业仍然嫌单薄。 机器设备的市占率自然也不如前,主要失去的市场自然是黄光设备,这是90年代DARPA发展出EUV技术原型后技术移转对象选择的结果,先进制程黄光设备市占的流失是必然趋势。日本在黄光之外还丢失了一些市场,譬如蚀刻设备。总体而言,日本的半导体制造机器设备仍然有显着的份量。  日本半导体材料仍然维持着市场主宰的地位。这要归功于过去化合物的发现和合成有点匠人工艺(craftsmanship)的味道—经验不是单以锐意进取的作为就可以替代的。像味素(Ajinomoto)能从一家调味品公司扩张到半导体材料,靠的当然不是其原来所缺少的半导体的领域知识,而是对化合物的发现与合成的匠人工艺精神。  日本半导体产业其实并未经历犹如中世纪的黑暗期,讲文艺复兴是有些言重了。  眼下日本政府全力引进的各国投资有效吗?会改变哪些现况呢? 
2024/1/29
晶创台湾方案评论 (二)
第二项技术议题是「加速产业创新所需异质整合及先进技术」,这个很明显是对上述生成式人工智能(generative AI)芯片及应用的支持项目。 延伸报导名人讲堂:晶创台湾方案评论 (一)异质整合(heterogeneous integration)是将用不同制程、材料制作的芯片透过先进封装整合在一起,提升系统功能与表现效能。目前生成式AI及其他的AI应用是用2.5D先进封装,将GPU芯片与HBM3或HBM3E封装在一起,以提高带宽、提高速度。再下个时代的HBM4或许可能采用铜混合键合(copper hybrid bonding)的3D先进封装或者用矽光子以连接GPU与HBM,进一步提高速度和带宽,这些也都是异质整合和先进封装的发展方向。  这个技术方向自然是用来支持生成式AI芯片的发展,如果生成式AI的发展方向是正确的话,异质整合的方向也是正确的。异质整合还有自己的重要性,以前ITRS(International Technology Roadmap for Semiconductors)用来标示技术进展的计量是制程微缩的节点,2017年后产业界就用Heterogeneous Integration Roadmap,显示每年可以被异质整合进封装的元件种类/形态/功能。这意味着异质整合本身也成为半导体增加经济价值的驱动力之一。  这个项目中的异质整合技术—特别是与生成式AI高度相关的矽光子与铜混合键合—台湾的半导体产业早已提前投入并取得相当成果。  异质整合的芯片设计比以前单一芯片的设计要复杂许多。譬如铜混合键合的芯片设计由于上下两片芯片—譬如GPU与DRAM—尺寸要一样,而且金属接点要互相对应,2个芯片的协同设计是基本要求。此时的设计工作会牵涉整个系统而非单一芯片,新的设计辅助工具也是需要的。台湾半导体产业在这方面也提早准备了,譬如联电与Cadence于2022年初发布完成联合开发设计工具的消息。  至于人力资源与投资环境的问题,这在台湾已是沉疴,在此只加注一点。  从方案中的人力资源方案来看,显然政策上已经清楚认知台湾人口基数的长期下降才是目前人力资源不足的主因。这一点是显着的进步。清楚问题的根源才有机会提出正确的解决方案。  对这个方案有3个基本问题,第一个问题是方案的提出时间。2023年并不是常规的10年科技政策出台的年度,而且此届内阁即将任满。于即将任满的时间制订一个长达10年的政策,在行政伦理上合适吗?  第二个问题是经费。10年新台币3,000亿元的经费是个不算小的金额,如果以每年的平均经费来计算,此方案在年度科技总预算的占比高达30%。这样的专案预算编列只有2种结果:一是排挤其他常规项目的空间、一是需要增加新预算。如果是增加新预算,长期计划就要另觅长期的新财源。这些在方案中以及相关的信息揭露都没有看到。问题是预算来源到底是那一种?削减其他专案预算?还是要开譬新源,而源头在哪?  最后一个是关于电力的问题。目前很多的生成式AI芯片设计在效能与节电—散热之间的选择,都大幅的倾向追求效能,而将散热的问题留给制程与封装去解决,是以连芯片水冷这样复杂的方案都也列入考虑了。生成式AI很耗电吗?当初ChatGPT刚问世时,各大云端服务器都遭遇耗电骤升的窘况,而那只是浅尝即止的试用期。  如果这方案真的很成功,在生成式AI芯片的制造应用都顺利推展到各领域,算过电力供应要怎麽成长才能支应吗?这些电力哪里来? (作者为DIGITIMES顾问)
2024/1/22
晶创台湾方案评论 (一)
政府在2023年11月13日公布「晶创台湾方案」。这是一个跨度长达10年的科技政策,预计经费高达新台币(以下同)3,000亿元。  与之前比较缺乏宏观、整合的科技产业政策相较,这次推出的政策焦点比较集中,投入的时间与力道都很充足。单以经费为例,此方案平均每年经费为300亿。300亿是什麽样的概念呢?这是政府每年科技总预算的约30%左右。以这样的力度执行单一个聚焦的目标,这在近年来的政府科技政策中,近乎异端。  这个方案中只挑拣2个相关的技术目标、2种产业发展环境改善计划:一、结合生成式人工智能(generative AI)+芯片,带动全产业创新;二、强化国内培育环境吸纳全球研发人才;三、加速产业创新所需异质整合及先进技术;四、利用矽岛实力吸引国际新创与投资来台。 第一个目标是生成式AI芯片及其于各产业、生活、工作环节的应用,这个是整个方案的重心。AI芯片兴起的势头毋庸置疑,NVIDIA以及其他公司各式加速器是2023年半导体情势欠佳下的救赎之一;与之搭配的高带宽存储器 (HBM)同样在2023年一片愁云惨雾的存储器市场中一支独秀。 但是,此恰恰说明计划的前瞻性稍嫌不足。如果这是已经明确的现代进行式趋势,政府的长期计划要抢先早个3、5年;如果这真是一个长期趋势,起步虽晚,亡羊补牢尚有可为。目前最顶尖的应用—在服务器上执行生成式AI—硬件卡位已近完成,生成式AI芯片由NVIDIA独占鳌头,另外还有如超微(AMD)等大厂;应用相关的主流存储器HBM3E也由SK海力士(SK Hynix)占半数、三星电子(Samsung Electronics)居次。  目前这类芯片开发所需的资源、HBM的取得、先进封装所需的产能、与系统厂商的结盟等能力,连有些大的IC设计公司都难以企及。这是一个门槛极高的领域,新创可以存活的生态区相当狭窄。  晶创台湾方案「主要运用我国半导体芯片制造与封测领先全球的优势,结合生成式AI等关键技术发展创新应用,提早布局台湾未来科技产业,并推动全产业加速创新突破。」如果策略是依靠台湾的制造芯片能力来发展应用,用云端服务器来提供GenAI算力的这领域不太靠谱。芯片制造与封测只是其中一个中间环节,离应用端太远了。生成式AI是每个领域都会自发性投入的新技能,应用后有机会提升自己在产业中的竞争力。但是发展出应用后要将此应用转换成新的产品机会不太大,毕竟使用云端生成式AI算力的应用,还未能有足够数量跨入获利门槛,以此营利的机会不大。在既存大公司专注之外的生成式AI市场当然也存在,譬如ASIC或IP—特殊应用或定制化的应用,这是许多新进者比较容易入手并且持续存活的生态区;大公司食之无味,小公司已够温饱。 进入这个领域就比较有机会触及应用端的发展及商业化。 像生成式AI这样的应用,即使是较小型的模型—譬如在手机上可以使用的LLaMA-7B大型语言模型,所使用的参数数目也高达70亿以上。  在市场强烈的竞争下,很难想像即使是特殊用途、定制化的生成式AI,虽然参数较少,却可以使用不是尖端制程的逻辑芯片和HBM。而且,特殊用途和定制化的GenAI一般和系统的连结性极强,也毋怪有些较大型的IC设计公司自己定义为系统公司。其实很多系统公司也将业务延伸至IC设计公司,以确保公司的核心能力掌握在自己的手中。之前已有很多先例,特别是在手机和电动/自驾车的产业。生成式AI是一个需要极大资源投入、高度整合上下游的新兴领域,即使是其中的特殊应用、定制化的次领域。新创IC设计公司在这个领域发展机会不大。 即使要既存的、有规模的系统公司或IC设计公司投入此快速发展领域,政策的意向还要辅以配合的作为,譬如建立上下游协作平台、是否协助建立国际统一标准等手段。在目前已揭露的政策宣告,看不到这些必须的关键、详细作为。  对于跨度长达10年的长期计划,这是严重缺漏。
2024/1/17
半导体材料开发新典范(二)
从AlphaGo问世迄今的近10年间,机器学习中的各式神经网络(neural networks)开始逐渐被应用到各种工商业的场景。与材料开发相关的应用之一就是用以优化材料制作过程,这个应用已经进入产业实作有一段时间了。  用机器学习中各种神经网络执行材料制程参数的优化,本是件很辛苦的事。人工智能素有高维度的诅咒(curse of high dimensionality),亦即要优化的问题中参数数目的增加,其所需的算力必须以指数的形式增加。但是相较于以实验来进行制程参数最佳化,机器学习仍然享有绝对的优势。另外,即使不能达到全域最佳化(global optimization),使用可以大幅节省计算资源的局部最佳化(local optimization)也许就足以满足应用的需求。  最近发表于《自然》期刊的文章〈Scaling deep learning in materials discovery〉,揭示运用机器学习于发现材料方法的量子大跃进。  过去使用计算所建立的非有机晶体数据库如Materials Project、Open Quantum Materials Database、AFLOWLIB、和NOMAD利用前述的第一原理计算和简单的原子替代方法,找到4.8万个稳定的晶体结构,新的方法则将此数目再推进一个数量级!  它使用的方法叫GNoME(Graph Network for Materials Exploration)。首先,它建立系统性的方法来产生新结构:考虑晶格结构对称性,以及随机产生的结构。  然后将图像神经网络(Graphic Neural Network;GNN)用上述的那些数据库中的数据来训练,改善结构的模型,用以过滤上述产生的新结构。这些挑选过的新结构再以第一原理来计算其能量,判别此结构是否稳定。  经过上述的反覆训练、筛选、计算、再筛选的程序,GNoME总共找到42.1万个结构,比之前单纯只用第一原理计算及简单原子替代方法建立的数据总比数4.8万足足高了一位数量级。而且数据量愈大,能量预测愈准确—以指数成长的方式进步。  这个方法还有新结构的预测能力。用以训练GNoME的数据最多只有4种原子所组成的结构,但是在没有任何训练数据的情况下,它也可以预测出5、6个单一原子组成的结构,而且与实验结果对照是符合的。  这些与半导体材料的发展有什麽关系?以目前1纳米晶体管的主要候选CMOS架构为例,目前计划以MoS2的二维材料来做通道(channel)材料,这是在工程均衡的考量下从过去已知的1,000多种二维材料中挑选出来的。但是经过GNoME的查找后,存在稳定结构的二维材料现在有5.2万种,也提高一个数量级。负责前沿晶体管结构的研发工程师要不要重新再检视一下这个新增的数据库、看一看是否有新的材料可以建构性能更好的晶体管?  结合第一原理计算与图像神经网络两种工具,以计算方式寻找新材料是至今为止最先进的、最有效的的材料发现方式。于半导体的应用中,其实材料的形成方式也都使用半导体设备。以前面所述的二维材料为例,原子层沉积(Atomic Layer Deposition;ALD)是常用设备。也就是说,半导体制程就是材料制程,研发与量产一体化是很自然的措施。将半导体相关材料研发纳入半导体厂的核心能力,有助于半导体厂整合更多价值增长环节进入晶圆厂,有利于维持长期持续成长的动力。
2024/1/3
半导体材料开发新典范(一)
现代的半导体产业中,有3个经济价值成长方式:制程继续微缩、先进封装与新材料开发的应用。制程微缩的研发的参与者数目寥若晨星,先进封装的参与者稍多,而新材料的开发参与者贯穿整从上游至下游的个半导体价值链,成为整个产业的新焦点。  传统的新材料开发方式,以合成(synthesis)为主,这是老牌材料强国日、德所擅长的。合成法以各式成分原子来组织稳定的化学结构,然后测试其化合物的各种性质—譬如导电性,以及在外来刺激下材料的反应—譬如顺磁性(paramagnetism),或抗磁性(diamagnetism)。  合成过程当然非常依赖于知识和经验,用以缩小查找适合特定应用目的的化合物范围。但是能否发现适合应用目的的化合物也存有很高的机率性,因为搜索的范围有限,对候选化合物的性质也仅凭臆测,基本上这就是一个试误的过程。另外,合成的制程比较像手工艺,过程中的众多的制程参数及方法过去很多是靠经验或多批次试验的结果,有点像匠人工艺,是以过去的材料先进国家能够维持其材料开发及制造的优势。  进入21世纪之后,由于计算力的快速提升,传统的化合物—特别是晶体(crystal)化合物—的开发、性质预测、稳定结构的发现以及制作工艺的优化方法,都产生极大变化,连带地将改变材料产业的竞态势。  2个领域的进展引发这个典范的转换:第一原理计算(first principles calculation)和机器学习,不久以后也许还有量子计算,这些都是高度依赖算力的操作。其直接影响将是晶体化合物的各类性质可以精准预测、晶体化合物的制程可以最大程度的优化,以及可能的稳定晶体结构可以彻底查找用以建材料数据库等。这些随之产生的新能力对于需要新材料来满足应用需求的使用者当然是梦寐以求的。  第一原理计算,或称之为ab initio calculation,是指从最基础的物理理论出发,计算晶体化合物的各种性质,这里指涉的基础物理理论一般是指薛汀格方程序(Schrodinger’s equation),但是在电子高速运动时,可能要诉诸更基本的量子电动力学(Quantum Electrodynamics;QED)—相对论版的薛汀格方程序。  虽然从最基础的物理理论出发,但是现实的世界极为复杂,即使是一个单一原子系统,除了最简单的氢原子之外,不存在解析解(analytic solution),遑论一般的化合物会牵涉到2个以上的原子核以及数十至于数百个以上的电子,所以某种形式的简化处理是必要的,而数值计算(numerical calculation)也是不可或缺的。过去这些所遭遇困难的量子力学问题,也是当初驱策Richard Feynman倡议量子电脑的原因之一。  虽然第一原理计算其实早就开始于上世纪70年代,但是一直要到90年代后其准确度才逐渐被学术界-包括物理、化学、材料等领域-所接受。受限于当时的电脑计算能力,还无法直接投入产业真实的应用。  第一原理计算可以用来预测一种特定晶体化合物的各种物理、化学性质,从带隙(bandgap)、导电性、极化(polarization)、磁性(magnetism)、光学性质等,几乎无所不包,其中很多性质是半导体产业关注的核心。连现在半导体在纳米尺度制程中最关心的界面性质、缺陷、掺杂等精细结构的叙述,第一原理计算现在都可以给出相当准确的预测。  大概不到10年前,我跟某一晶圆制造公司建议设立一个第一原理计算团队,理由是可以节省大量工程试验批(engineering pilot lots)的经费和时间。当时他们的回应只是笑笑,半导体厂要做数值计算物理的人做什麽?现在他们已经有一支不小的第一原理计算团队了。 Scaling deep learning for materials discovery .
2023/12/27