智能应用 影音
Microchip
ADI
林育中
  • DIGITIMES顾问
现为DIGITIMES顾问,1988年获物理学博士学位,任教于中央大学,后转往科技产业发展。曾任茂德科技董事及副总、普天茂德科技总经理、康帝科技总经理等职位。曾于 Taiwan Semicon 任谘询委员,主持黄光论坛。2001~2002 获选为台湾半导体产业协会监事、监事长。
芯片的房地产开发—以及晶圆背面的利用(一)
直至今日,芯片的设计与制造都在讲究硅片的土地利用效率,称之为矽房地产(silicon real estate)开发。传统的芯片制造是将结构从做为基板(substrate)的硅片上一步一步堆叠上去的,乃至于后段制程(Back End Of Line;BEOL)的金属连线。一开始做为IC的基础元件晶体管只做一层,像以前的平房,虽然房屋可以栉比林立,但是整体的建筑景观是平整的2D街景。然后是地下室了。在DRAM发展制程的过程中,电容建构在过往方式之一是向下挖深沟,称为深沟电容(deep trench capacitor)。电容存在于晶体管的水平面之下,算是地下室吧!这是积极争取建筑容积率的第一步。以上的平房、地下室的想法在人类史前文化就有,要不,到良渚文化遗址去瞧瞧。从晶体管乃至于金属连线都建构于晶圆的一面,这一面叫前面(front side)。晶体管积体整合程度变高之后,整个芯片就像乡村变成都市,公共设施如供电网、下水道、交通等就得纳入都市计划。芯片上最重要的公共设施至少包括有电源、信号和热耗散。电源和信号由最上面的金属连线层处理,而热耗散犹如废水,处理不好芯片便无法持续运作。很久以前处理热耗散问题,脑筋动到晶圆背面(back side)。功率元件虽然不算是IC,但是由于功率元件高压、大电流所产生的焦耳热(joule heat)会让芯片发烫,势必要有快速排除废热的管道,于是有了BGBM(Back Grounding Back Metalization)的制程—将晶圆底部磨薄,然后镀上金属,让晶体管的散热快些。这个也可以用城市的基建打个比方:废热的下水道。再来是盖楼了。3D NAND的制程惊才绝艳,只使用4、5个光罩便能做成32层的结构,大幅增加可能储存的信息数量。盖高楼层的自由度一旦打开,建筑物的容积率随楼层数的增加而倍数大幅成长,减轻2D时代芯片地基必须持续微缩的压力。再下来是处理信号的问题。芯片中传统的信号大致以电子传送,管道是制程中的各层金属连线,至今仍是如此,但是这只是内部的信号传递形式。现在的芯片多才多艺,也可以从外界汲取信息—譬如光,然后再转成电信号,CIS (CMOS Image Sensor)就是最好的例子,其后也引领着半导体制程创造性的变革。传统CIS架构与CMOS的建构过程相彷,先做光二极管(photo diode),这算是某种类型的CMOS,其功能是把接收到的光信号转成电信号,以便后续处理。其上也有一般芯片的几层金属连线,更上面有光线进入后的微镜头(micro  lens)和滤色片(color filters)。微镜头这端叫前端(front side),是芯片的正面(face)。这整个制程就依循CMOS制程的传统的智能。但是光进来后先要穿越正面几层满布金属线的缝隙,以及芯片的中层结构,才能抵达对光敏感的光二极管。光的吸收效率很差。从工程设计的角度来看,光经微镜头、滤光片后应该先抵达光二极管,直接让它吸收,转化成电信号,然后经金属连线把信号送出去,这才是合理的设计。之所以会变成如此别扭的结构,乃因半导体CMOS制程在演化过程中,就是将CMOS先置于底部,再将线路逐渐长上去的。无独有偶,大部分的生物的眼睛也有如此因演化过程产生的工程谬误。人类眼睛的盲点就是在光敏细胞的演化过程中,视神经先长到视网模前,这个演化的遗迹残留到以后更复杂的眼球结构之中,视神经阻挡视网膜对光线的部分吸收,以致于接近视界的中心点两侧都有对影像无感的盲点。演化无法重来,但是工程可以重新设计。CIS如此别扭结构,解决的方法就是从芯片背面着手:光的进入孔道微镜头、滤光片从比较接近光二极管(视网膜)的方向进来—就是晶圆的背面,在光二极管处转化成电信号后再由上层的金属线路(视神经)送出去处理。这样的结构不会让光被金属连线阻挡干扰,结构合理多了。如此的CIS结构叫背面照明(BI;Back-side Illumination),而老一代的CIS则叫前面照明(FI:Front-side Illumination)。光是一种信号,比之于建筑中的线路属于弱电系统,现在芯片中的部分弱电线路也地下化了,像是光纤或电缆。CIS的结构本来就由多种效能的芯片功能模块拼凑起来,至少包括像素阵列(pixel arrays)、类比线路(Analog to Digital Converters;ADC)、逻辑线路(Image Signal Processors;ISP)等组成,而这些模块在半导体制程看来就是异质(heterogeneous)。因此在异质整合(heterogeneous integration)的年代开始后,CIS的结构创新引领许多矽房地产变革的生发。
2023/6/19
国内停止采购美光产品可能的市场反应
2023年5月21日国内国家互联网信息办公室发布消息称,美光(Micron)在国内销售的产品未通过网络安全审查。按照国内《网络安全法》等法律法规,国内境内关键信息基础设施的营运者,应停止采购美光产品。针对这件事,南华早报在2023年5月29日已做评论。在中美科技对峙的氛围下,美国的科技公司遭逢此种裁定是意料中事,美光成为箭靶是因为「美光是美国对国内不仅提起多次知识产权诉讼,还经常游说美国反对国内的大型芯片产业公司」。南华早报这一部分的陈述离事实并不太远,美光是全世界存储器厂商中最常使用非商业竞争手段打击同业的。专利侵权、反倾销(anti-dumping)、反补贴(counter-veiling)等手段使用得淋漓尽至,充分利用美国在国际政治的力量,以及过去是世界重要半导体市场的主场优势。世界上没有任何一家存储器公司能幸免于此困扰。即使其本身亦有涉案在DRAM反垄断案中,美光也以其较熟悉的反垄断局宽大处理计划(Leniency Program)最后安然脱身。美光如此常态行为,的确较容易成为反制的对象,但是国内政府是否真正以此因素为主要考量而下此决定,就不得而知。国内官方宣布的根据或理由令人费解,主要是因为DRAM的产品特性,它是「大宗商品(commodity)」。DRAM产品有世界统一的规格,像DDR4、DDR5、LP DDR4等界面规格,同一规格的产品,其电压、传输速度、信号次序等规格是完全一样,都是由JEDEC这个组织统一制定的。理论上,一家公司某一特定界面的产品完全可以被另一家公司相同界面的产品直接插拔替代。如果美光的产品要刻意增加其他公司没有的「功能」,这些增加的线路势必在产品的成本上重惩美光。所以说这个根据或理由,业内人士很难理解。如此措施会引发哪些市场反应呢?当前的存储器市场由于PC和手机市场的低迷,处于极端的不景气状态之中,这是整个产业现在共同感受。这个裁定对于美光的短期冲击雪上加霜是显而易见的。但有几个理由会让这个裁定的影响可能没有想像中的严峻。第一,是美光的前置准备。这几年中美科技的对峙已经持续多时,特别是美光在与晋华进入诉讼程序之后,美光不可能没有应变计划,否则就是经营得太漫不经心了。第二,是美光传统的市场策略。美光在很长一段时间内的市场策略是极大化利润,而不是保持客户的黏着度,理由是前述的DRAM是大宗商品这一原因。由于存储器是大宗商品,很难由产品的差异化来提升顾客的忠诚度,利润极大化是合理的市场策略。基于此一市场策略,美光销售体制使产品销售对象转换的弹性即相对较高。第三,还是大宗商品的特性所导致的。DRAM由于可相互替代,对于系统公司零件转换成本较低,只要有价格差距就有转换诱因。所以此措施净效应就是存储器各寡占公司与顾客的重新议价与配对洗牌。顾客与供应商重新接头、议价需要交易成本,也需要时间,所以将延缓整个产业的复苏时间。对于个别厂而言,当然会有所损失,但是还不致于窒息。大宗商品嘛,如水银泻地,无孔不入的。要不,俄国石油被那麽多国家抵制,不也卖得好好的?
2023/6/13
铜混合键合的发展与应用(三):未来应用
混合键合技术的新应用中,最引人注目的当属高效能计算(High Performance Computing;HPC)。HPC在晶圆代工的产能中占据最显着的份量。HPC架构主体主要含处理器和存储器。处理器通常以最先进的逻辑制程制造,但是存储器(DRAM)的制程进展较逻辑制程缓慢,这个就产生落差。两者之间沟通落差限制整体表现,而且制程也截然不同,属于「异质」。延伸报导先进封装技术竞逐略有起伏 HPC导入热度高于手机AP解决两者之间效能落差的方法之一是利用平行处理。现在的处理器多具有双位数数量的核(cores),每个个核需要支持其运作的个别存储器。数量如此多的核-存储器之间的连线需要多个I/O接点以及高频寛,这就是十年前开始出现高频寛存储器(High Bandwidth Memory;HBM)需求的驱动原因。HBM是用2.5D封装技术将CPU与至多8个DRAM堆叠封装,其处理器与存储器之间的连接是透过芯片的微键(microbond)连接底下中介层的金属线至另外的芯片,如此一来I/O与连线的密度都可以大幅增加。对于常用于AI常用的GPU芯片,其核的功能比较专一,所以每个核的面积较小,一个芯片里核的数目动辄上千。每个核所需要对应存储器容量不需要很大,但是因为核与存储器的数目有数量级的提升,连线及I/O的数目要求更高,此时铜混合键合就能提供其所需要的效能。这个应用也是目前多家代工厂、DRAM厂的技术及业务能力扩展方向。2022年3月Graphcore发布于台积电造的Bow IPU号称是世界第一个3D WoW处理器,利用到的是混合键合的另一种优势。2片晶圆一边是AI处理器及其协作的存储器,主要包括1,472个IPU(Intelligent Processor Unit,Graphcore为其处理器的命名)以及与各IPU协作的独立900MB的分散式SRAM;另一个芯片负责提供电源。如此结构设计,Graphcore宣称可以提升效能40%以及节省功耗16%。超微(AMD)最近的Ryzen系列也因为不同的原因采取混合键合技术,虽然使用的是CoW的技术,而非WoW。超微将CPU中面积较大的L3 cache单独拿出并增容量、单独生产,在不增加CPU系统面积的情况下,增加可用的SRAM容量,减少一般信息处理必须传送到DRAM的需求,因而提升速度、减少功耗。延伸报导铜混合键合的发展与应用(二):商业化应用其他混合键合的应用现在可预见的还包括无线通讯、AIoT、PMIC等。在混合键合的制造成本下降后,应用领域还有可能延拓的更广泛。从芯片异质整合、效能提升、减少功耗、缩小面积等的几个优点考量,只要混合键合的成本下降至各优点的价值临界点后,技术的采用将会一一浮现。学习已经商业化的、正在酝酿中的应用并且分析其得失,是寻找新应用的 必要学习过程。 
2023/5/5
铜混合键合的发展与应用(二):商业化应用
混合键合的最大特色是芯片对外连接金属垫(metal pad)的尺度是「半导体制程级」的。相较于之前用于中介板的微凸块(microbump)间距40um,混合键合的键合间距可以小达1~2um,限制尺寸的原因主要来自于对齐的精确程度,还有进一步改善的空间。这样的键合间距代表每平方厘米芯片面积可以承担百万个连结,这比任何既存的封装方式都有几个数量级的提升。连线键合数目愈多意味着2个芯片之间容许更高带宽的沟通,有利于平行运算,也容许较高电流。功能模块之间的连线也较寻常方式为短,所以速度快、噪音低、功耗也较小。另外混合键合本来就是异质整合、3D堆叠先进封装中的一种方法,所以二者的优点也自然都有。商业应用混合键合的半导体产品,首先是 Sony的CIS。CIS有几个组成部分:像素阵列(pixel array)、类比数码转换器(Analog-to-Digital Converter;ADC)、影像信号处理器(Image Signal Processor;ISP)。像素阵列基本上是1层多晶矽(polysilicon)与5层金属的制程;ADC与ISP则是1层多晶矽与10层金属的制程,二者的制程差距甚远,符合「异质」特徵,应该分别制造。二者的3D芯片堆叠还能缩小镜头尺寸,所以Sony早在2016年就将分别制造的像素阵列晶圆与ADC+ISP晶圆混合键合,替代原来在同一芯片的设计制造。由于混合键合大幅增加金属连线密度,使得ADC可以平行处理像素,大幅提升画面处理的能力,譬如全域快门(global shutter)、影片的每秒帧数(frame per second)等。目前的设计趋势是向每个像素都有独立的ADC方向迈进。进一步的工作是将DRAM也加入CIS的3D堆叠,做为像素处理的缓冲存储器(buffer memory)。Sony和三星电子(Samsung Electronics)都有此设计,只是DRAM堆叠位置不一。影像在车辆的应用,譬如用来侦测前方物件距离的时差测距(Time of Flight;ToF)的单光子雪崩探测器(Single Photon Avalanche Detector;SPAD);或在工业的应用,譬如机器视觉(machine vision),都可能需要再加入能执行边缘计算(edge computing)芯片。CIS启动混合键合的商业应用,历史较长,较长远的应用规划也渐入视野。另外一个也进入商业量产的应用是3D NAND。平面NAND的存储器细胞阵列(memory cell array)与其他逻辑线路-包括微控制器(microcontroller)、位址寄存器(address register)等,是放在同一芯片上的。3D NAND 的存储器细胞阵列持续往3D方向堆叠,但是逻辑线路上方却空无一物,严重浪费珍贵的芯片房地产(real estate)。所以长江储存首先以XtackingTM技术将逻辑线路部分以混合金键合方式置于存储器细胞阵列之下,大幅提高芯片房地产使用效率。其他公司后来也采取类似方法。不过在此例中,金属垫的密度不需要特别的高。
2023/5/4
铜混合键合的发展与应用(一):技术轮廓
先进封装大概可以分为两大类趋势:一个是小芯片(chiplet)。小芯片将传统上较大型的积体线路分拆成许多较小的功能模块,先个别予以优化。再使用这些已优化的小芯片组织新的次系统。这样可以重复使用IP,大幅加速产品设计的速度以及降低设计成本。至于各个小芯片之间的连接,倚靠底下仲介层(interposer)内的金属连线。此连线的密度当然远高于传统的线路板或封装I/O所能支持的密度,大幅增加线路运作频寛(bandwidth)、增大平行运算的操作空间。另一个方向自然是异质整合(heterogeneous integration)。将不同制程或不同材料的芯片堆叠在一起,以整合方式提升、扩充组装元件的功能。除了已经商业化的方法外,基本上有芯片-晶圆(Chip-on-Wafer;CoW)及晶圆-晶圆(Wafer-on-Wafer;WoW)等2种键合型态。二者在键合后都需要再切割晶粒,但是也有例外。CoW程序较复杂,所以WoW可能早些普及。晶圆间键合的技术又有很多种,现在已经进入商业化的技术之一是「铜-铜混合键合」(Cu-Cu hybrid bonding),这也是本文讨论的主题。铜-铜混合键合技术是将2片欲键合在一起的晶圆,各自完成制程最后一步的金属连线层,此层上只有2种材质:铜及介电质。介电质可以是氧化矽或高分子材料,二者各有优缺点,使用何种物质依制程需要而定。由于晶圆键合时牵涉到铜及介电质两种材料界面,所以称之为混合键合。2片晶圆面对面键合时是铜金属对铜金属、介电值对介电质,两边键合界面的形状、位置完全相同,晶粒大小形状也必须一样。所以使用混合键合先进封装技术的次系统产品各成分元件必须从产品设计、线路设计时就开始共同协作。混合键合制程约略如下:两边晶圆在完成最上层之金属制程后,经化学机械研磨(Chemical-Mechanical Polishing;CMP)及清洗后,2片晶圆面对面对齐(alignment)。介电质先经离子活化(ion activation),两边介电质接触后产生共价键。两边铜的表面原先较介电质稍低,在退火(annealing)时因膨胀系数较介电质为大而增高接合,两边铜离子因相互扩散(diffusion)进入对方而形成密切的永久性接合。晶圆平坦化(planarization)不足、残留粒子、对齐误差及金属界面孔隙(void)等均有可能影响元件特性或失效。目前混合键合机台已有多家设备厂商投入量产。如EVG、SUSS MicroTech、TEL、AML等,典型机台如EVG的Gimini系列。由于现代设备厂商在销售机台时多附有机台相关之基础制程,混合键合制程的开发通常不算是严峻的挑战。目前铜混合键合的封装制程良率已经可以到达一般后段封装的典型良率99%以上。一部分原因是于此技术的累积发展与已经商业化的机台设备同步,但是更重要的原因是两边芯片的设计团队期前的设计沟通,在重复单元区留下适度的冗余(redundancy),当键合时发生缺陷时,有足够的空间来腾挪。
2023/5/3
先进微影技术发展(二):纳米压印与定向自组装
除了纯粹光学的方法外,还有其他方法也可以用来定义半导体的精细图案。纳米压印微影(Nano Imprint Lithography;NIL)也发轫于90年代中期,至今还未进入量产,但是其分辨率经验证已可达10 nm以下。NIL的操作是先以电子束微影(electron beam lithography)在「光罩」(其实更像是模版)上写下欲转录图形的阴刻,然后压印在已涂布低粘度(low viscosity)的「光阻」(这物质其实与光敏无关,只是用来抵挡蚀刻)的矽晶上,让光阻流入图形阴刻中的沟槽后,以紫外光照射用以固化(curing),形成光阻覆盖图形。后续的工作就如同一般的制程一样,开始蚀刻光阻未覆盖的区域。NIL有能力用来制造出3D图形。如此操作可以省却复杂的光源及庞大的透镜/反射镜所组成的光学系统,而且在关键层(critical layer)可以只用1次操作完成,所以预期的产量较高。一个微影系统的能力通常以图形化(patterning)、叠加(overlay)以及量产能力(throughput)来评估。其中图形化是指生成所需图形的能力,主要是分辨率;而叠加是指上下2层图形的对齐精准度。目前的进展是对于3D NAND产品NIL的图案化及叠加能力已达满意程度,等待量产能力达标后,即可投入产线。对于DRAM,图形化能力已达14 nm (1a)节点,叠加能力犹待展示;存储器是NIL比较可能的先期应用。NIL技术主要由日本所开发,佳能(Canon)在90年代未能接受美国授权EUV技术后即转向NIL方向发展。晶圆厂方面,东芝(Toshiba)于2000年初即投入研发。目前威腾电子(Western Digital)想收购东芝的原因除了扩大生产、研发的规模经济外,东芝拥有NIL技术、可用于投入MRAM的生产也是吸引力之一。另外,国内也开始投入NIL的研究,这是国内半导体技术自主化中的一环。定向自组装(DSA;Directed Self-Assembly)是与前述2种技术完全不同概念的运作,DSA也发轫于90年代中期,其时复杂系统(complex system)领域中的热门研究题目之一是元胞自动机(cellular automata),它是指一个单元可以用简单规则建立一套复杂系统的模型,DSA就是藉助此概念所发展出的方法。如此跨领域创新,在半导体学院可教不来。 光学微影(photolithography)与NIL都是从上而下(top-down)来定义图形,亦即图形先从巨观尺度定义完成后再去处理图形中的内容物质;而DSA则是由原分子阶层由下而上(bottom-up)组成所需图形。  DSA使用嵌段共聚物(Block CoPolymers;BCP)当成主要材料,常用的材料为聚苯乙烯嵌段聚(甲基丙烯酸甲酯)[poly(styrene-block-methyl methacrylate);PS-b-PMMA]。DSA是2条互斥(repulsive)的高分子链以共价键衔接在一起,对于其他的化学物质有不同的亲和性(affinity),这是用来操纵形成不同图案的主要机制。DSA亦可形成3D图形。DSA的实际运作首先要形成引导图形(guiding pattern)—引导BCP自组装成需要图形的外在框架,有2种主要方法:图形外延(graphoepitaxy)以及化学外延(Chemoepitaxy)。前者是先以微影方式定义引导图形(guiding pattern)的3D拓朴形状,譬条状平行沟槽,然后在沟槽壁上或沟槽底部涂布上特定化学品,沉积BCP于其上。2条高分子链中的1条对于以涂布的化学品具有高亲和性,黏附于其上,剩下的就靠高分子之间的自组装,形成需要的图形;化学外延则是在基板上直接涂布较高密度的化学品,剩下的也全靠高分子之间的自组装机制。DSA既然也需要微影技术来定义图形,为什麽还需要DSA?原因是DSA自组装形成图形的密度较微影技术为高,目前DSA的分辨率已达12.5 nm,而且还在继续向下发展。DSA搭配EUV、做为增强EUV分辨率的手段是目前考虑的使用方向之一。相对于NIL,DSA还需要更长的时间才会成熟量产。DSA在量产的2个预期的主要应用为线/间距(line-space)和接触(contact)图形,前者是存储器金属层的最关键技术,因此存储器公司对DSA的投入较深。目前的微影技术其实离最终极的原分子尺度并不太远,但这并不意味着对于原分子尺度的运作操控将止步于此。像NIL与DSA都跳脱传统光学微影系统的思维,利用新的物理、化学机制与材料,更多基础科学的投入才能容许半导体产业走更长远的路。延伸报导先进微影技术发展(一):既有设备路径的延伸
2023/3/24
先进微影技术发展(一):既有设备路径的延伸
微影技术是半导体产业用以定义精细图案的主流手段,目前最先进的技术是极紫外光(EUV),最近的量产设备为ASML 的TWINSCAN NXE:3600D。使用的光源是由二氧化碳雷射打到锡(tin;Sn)电浆(plasma)后,产生13.5 nm的极紫外光。数值孔径(Numerical Aperture;NA)为0.33,这个数值反比于分辨率(resolution,或为Critical Dimension;CD);微影技术中NA愈大愈好。其分辨率为13 nm,生产能力(throughput)每小时可以处理160片晶圆。这机型支持7纳米、5纳米制程的量产。有一个常有的误解,是5纳米制程其实并不代表其分辨率恰好为5 nm,这数字是技术节点(technology node)的名称。过去此数字的确是代表此一制程的临界尺度,譬如逻辑制程的闸极长度(gate length)或者DRAM的半金属间距(half metal pitch)。但是现在晶体管3D化、制程变得复杂,节点的命名代表的是依照摩尔定律演化曲线投射的晶体管数目、晶体管开关速度、功耗的等效名称。微影技术的后续发展呢?据ASML最近的财报表示,改进的方向是往增大NA的方向,从目前的0.33增大为0.55,后者称为高数值孔径(high NA),可以进一步提高分辨率。另一个方向是增加生产能力至220片,降低晶圆厂生产成本。理论上一个光源的分辨率为其波长的2分之1,譬如EUV现在的光源波长为13.5 nm,理论上其单次曝光的壳达到的最佳分辨率约略小于7 nm(重复曝光可以进一步改善分辨率)。用较短波长的光源藉以达到更高分辨率是以前理所当然的路径,微影机的光源一路从g-line(436 nm)、h-line(405 nm)、i-line(365 nm)等可见光进展到KrF(248 nm)、ArF(193 nm)的深紫外光(DUV),主要的进展都是靠缩短光源波长来改进分辨率的。但是从DUV迁移到EUV牵涉到结构上重大的变革,有2个重要因素。在光源方面,没有自然的原分子可以被激发后释放出EUV,所以必须以二氧化碳雷射去多次激发锡电浆。另外,EUV被几乎所有的东西所吸收,包括空气以及石英(以前用来做光罩的材料)、透镜等,所以所有的EUV光学系统都得改成在真空中以镜子反射式的呈像,这是一个颠覆式的重大变革。EUV的研究肇始于1990年代初,当时DUV的半导体量产机台还未导入量产呢!之所以要花20几年的时间来研发,因为是对一个更精密系统的重新创造。而且目前的EUV是一步到位的研发。EUV的定义是波长落于10~210 nm之间的光,目前EUV的波长13.5 nm已在EUV定义的边缘,波长再短就是x-ray了。到那时候又是另一套全新系统的开发。X-ray 也会被空气吸收,而可能的光源之一是1990年代就提议过的同步辐射,那可又是一场全新的游戏。所以沿着光学微影方向发展的下一步呢?比较大的可能是超高数值孔径(hyper NA),NA=0.75,这可以让分辨率比目前至少再提高个2倍。配合其他常用的制程手段,譬如多重曝光、过度蚀刻等,看能否接近矽原子的天然分辨率极限。这个路径虽然是演化式的,但是研发经费仍然是天文数字。后面有没有足够的高端应用来分摊这前头的庞大研发经费,这是个经济问题。 
2023/3/23
电动车电池(二):研发竞争与地缘政治
美国能源部公布自2008年至2022年以来,电动车电池的价格下降89%。降价的2个主要原因是因为量产以及电池技术的进步。量产的驱动力来自于电动汽车量的增加,而规模经济的效果自不待言。技术的进步值得一提,其中研究主轴自然是材料,但范围包含广泛的电化学、电极材料、电解液、催化剂、机构、监控等。在这统计的14年中,不仅纳米科技一日千里,容许工程人员对微小物质的操控,而且这段时间也是材料科学成长出新的研发臂膀期间,理论的新手段如第一原理计算(first principles calculation)、机器学习乃至量子运算等,纷纷被应用到电池材料的研发。这些手段在进入实验之前,可先预测目标材料种类及特徵参数,实验结果往往也与预测相近,这些开发手段的改变大幅缩短开发时程、降低开发的经费。掌握这些新研发方法,乃电池研发竞争的主轴之一。车用电池发展与注重企业ESG的年代也重叠,因此企业经营必须将整个生态环境计入经营考量,企业再无外部成本可言。锂离子电池中使用较多的锂、镍、钴等金属,如果按照目前的使用效率及市场成长估算,目前已知的矿藏量可能在10年后告罄,所以分级使用以及资源回收势在必行。譬如电动车电池在充电率降至90%之后,经厂商修整再移至再生能源当储能设备使用,最终进入资源回收的循环。电动车电池一直存在着地缘政治的议题,主要原因是电池是电动汽车的核心,而国内掌握全世界60%电池组制造,高度集中的比例在今日地缘政治的环境下,令人担忧电动车电池也会被用来做为战略杠杆工具。笔者有几个理由,认为这是过虑了。第一个原因是原材料。世界的锂矿藏大部份在南美洲三国:玻利维亚、阿根廷、以及智利,其次是澳大利亚。国内有70%的原材料依赖进口,但是国内对于矿藏本身可能拥有部分所有权。如果锂离子电池一旦升级为战略物资,各国普遍有政府可以徵用的法令,启动杠杆战略的可能,国内自己先反受其害。第二个理由是国内虽然电池组产量占全世界60%,但是电动车产量亦占50%以上,而且上升势头甚猛,譬如2022的出口辆数就较2021的多1倍。在自己使用供需略近平衡的状况下—而且电池成本目前占电动车成本近40%,本身价值不菲—将电动车电池当成战略杠杆武器使用是不切实际的。一旦供应链重组,国内自己受伤害的机率很大。第三是新电池的发展仍然在持续发展之中,锂离子电池未来是否仍然是主流产品仍在未定之天,这也是各界关注电池产业发展的焦点。近期趋势是重回钠离子电池。虽然钠离子电池因为钠的原子序较大,其比能量目前处于100~150 Wh/kg,仍然略逊于锂离子电池的120~180 Wh/kg,但是其原材料价格便宜甚多:碳酸钠(sodium carbonate)每吨就300美元上下,而碳酸锂(lithium carbonate)2月价格略低于50万美元。二者价格有上百倍的差距。钠离子电池还有其它的功能性优点:可以在较低的温度工作,也没有过放电(overdischarge)的问题(锂电池电力完全用完时负极可能受损);钠离子电池的导电率也较高,所以比功率、充电速度也较高。另外,钠离子电池在短路时升温较锂离子电池慢,相对较安全。锂离子电池的负极(anode)通常是石墨(graphite),正极(cathode)常用钴酸锂(lithium cobalt oxide);钠离子电池要复杂得多。负极因钠离子较大,没办法嵌入石墨中,必须用硬碳(hard carbon),而硬碳价格很高,一吨在十数万美金之谱,这降低了钠原材料的成本优势;而正极材料亦较钴酸锂要复杂得多。即便有这些工程的挑战,预计今年(2023年)钠离子电池会进入量产,而锂离子电池下半年可能会产能过剩。电动车产业从锂离子电池迈向钠/锂离子混合电池的过程正在发生之中。在更长远的未来,氢燃料电池(hydrogen fuel battery)—如果可以解决工程问题的话—是更理想的电池产品:原料氢和氧都没有储藏量的问题,而使用后的产物是水,也无环境污染和回收的问题。因为有这些可能的技术急转弯的新产品,以锂离子电池当成战略杠杆物资的机率并不高。毋怪乎有些大的传统汽车厂能气定神间的在一旁静观,没有加入锂离子电池的竞争。目前只是初发韧期,所以电动车电池目前还没有统一规格,一切有待观察。延伸报导电动车电池(一):材料演变与应用型态 
2023/2/10
电动车电池(一):材料演变与应用型态
电池是将电能或其他能量先转化成化学能用以储存能量,使用时再将所储存化学能转化成电能的电化学(electrochemistry)机构。电池的主要结构有3个部分:负极(anode)、正极(cathode)以及电解液(electrolyte)。电解液的功能是分隔负极与正极,并让带有电荷的电子和离子于负极与正极之间穿梭流动。电池自问世至今已超过160年,其样态历经过4种主要的材料:铅酸(lead acid)、镍氢(Nickel Metal Hydride;NiMH)、斑马(ZEBRA,Zero Emission Battery Research Activity;NaNiCl2,主要成分是钠、镍、氯)以及锂离子(lithium ion)电池。铅酸电池现在还在服役,就是常见的汽车用以点火启动电池。但是我们要谈的电动车电池(Electric Vehicle Battery;EVB)是牵引(traction)用的,基本上是输出力、驱动马达、拉动电动车,与点火用电池的结构和设计略有不同。锂离子电池的大量应用则自然是从手机的移动应用开始,主要考量当然是其轻盈可携,之后这个好处就自然的被引入电动车电池的应用。从这电池系列的演化轨迹,我们发现了一个有趣的趋势。如果把各种电池主要的金属材料的原子序标出的话,依序是82、28、11、3。这代表整个产业对电池金属材料的演化是一心一意朝向轻盈的方向迈进。事实上,锂已经是最轻的金属,比它轻的元素只有氢和氦,全是气体,也不是金属,无法当成电极来导电。一个完整的电动车电池由几千个电池单元(cell)组成。先是由3、4百个电池单元串联和并联的方式组成1个电池模块(module),十几个模块再组成1个电池组(pack)。以Tesla Model 3为例,其电池组就由7、8,000个单元组成。每个模块中有冷却机制(cooling mechanism),并且用电池管理系统(Battery Management System;BMS)监控电池的健康状况(State Of Health;SOH):包括温度和电压等,以维持电池在安全的参数区间内工作,并监控充电状况(State Of Charge;SOC)。一旦电池的温、压异常,电池上的保险丝会熔断,以维护系统安全。通常电压异常和保险丝熔断是电池需要更换的2个主要原因。评估电动车电池对应用的妥适性时,有6个重要的指标:比能量(specific energy)、比功率(specific power)、生命周期(life span)、性能(performance)、安全性(safety)与成本(cost)。这里的比能量指的是每公斤电池能储存多少的能量;比功率是电池在每单位时间内能输出多少能量。前者牵涉到电动车能走多远,而后者则关于电动车能跑多快。生命周期指电池能充放电的次数,佐以电动车平均使用频率,也可以粗估电池使用年限。安全性的考虑主要是在高热、升温的环境下,或者电池遭撞击、刺穿的状况下,锂离子电池容易燃烧、爆炸。性能的考量较多样,包括充电速度、低温使用等特性。成本毋庸说明,目前锂离子电池在量产日增的条件下,价格快速下降。但是未来势必面对临锂矿逐渐枯竭的状况,成本进一步继续下降面临挑战。比能量是电动车能上路的基本考量,这决定一定重量的电池能让电动车行走多远?这是每一部能上路的电动车都要挂心的事,反过来这又决定1部电动车需要携带多少重量的电池?如果电池重量本身占整部电动车重量的比例过高,能搭载的重量就很受限,毕竟电池的能量很大一部分是用来携带电池本身的重量。目前锂离子电池的比能量约在120~180 Wh/kg之间,这是所有型态电池目前所能达到最好的数值。这6个因素在不同应用时需要针对应用均衡的考虑。目前对电动车电池应用工程实务的做法是稍微妥协比能量和比功率(因为稍有余裕),以换取较快的充电速度、较长的生命周期以及较高的安全性。将锂离子电池应用于电动车上的表现如何呢?目前进展状况是,以Tesla Model 3为例,一部1.5吨的电动车携带400kg的电池大约可行驶400公里以上,30分钟内可以充满80%以上的电能,但是电池重量大约占电动车总重量的3分之1!而上述的车行距离、电池重量、充电速度等数据,只能说是堪称勉强满足需求。锂已经是原子序最小的金属,轻无可轻。因此如何改进电池中的其他材料,譬如电极材料、电解液、催化剂等成分,以及电池的结构和控制等因素,以提高电池储存电力、电化学能转换效率,是目前电池研发重点。
2023/2/9
半导体产业在汽车业的机遇与挑战(二)
半导体产业要因应汽车产业趋势和现象所必要的变革,可从过去半导体产业的历史中取经。车用半导体有一小部分需要用到高端制程,譬如L4、L5的自驾芯片,其中具备的机器学习功能,所用的制程自然与GPU类似,需要最先进的制程;其余大部分的芯片则以较成熟制程对付即可。但这不表示这些芯片非属高科技产品-高科技产业需要持续的投入资金研发,不断创造新的经济价值。譬如功率元件(power device)虽然毋需精细制程,但是其元件的材料和结构仍然还在持续研发当中,以求提升耐压、高频、可靠性等性能。现在高压功率元件使用的是宽频隙(Wide Band Gap;WBG)半导体如碳化矽(SiC)、氮化镓(GaN),但是超宽带隙(Ultra Wide Band Gap;UWBG)半导体如钻石(diamond)、氧化镓(Ga2O3)、氮化铝镓(AlGaN)、氮化铝(AlN)等新材料,与使用这些材料设计的高压功率元件研发已然上路,所以车用半导体零件的高科技特性仍然稳固,这一点对如何因应产业环境变化的策略制定是很重要的基础因素。这2类汽车半导体对于汽车厂的需求与现象,也应该各自有策略性的回应。对于需要先进制程的芯片,代工模式仍然有明显的优势:集世界各式逻辑芯片需求之力,取得研发的规模经济;相较之下,汽车厂的内部垂直整合半导体制造模式难以施行,因为存在利益冲突。即使客户大如华为、Tesla,从来也只想自行设计,而非自行制造高端芯片。所以对于先进制程芯片,半导体产业所欠缺的只是区域供应链—Tesla计划在台积电美国厂用先进制程生产高端自驾芯片,其中当然有源于疫情期间武汉汽车零件供应断链事件,以及半导体产能不足问题取得教训的考量。是故,这类车用半导体所需要的改变只是生产设施接近客户,并且有分散来源及产能调配能力。这是目前半导体业乃至于电子业正在发生的事。其他类的半导体汽车零件就比较麻烦,如功率元件、MCU、传感器、通讯元件等。这类半导体零件,有个行之已久的半导体策略,因应区域供应链以及汽车产业内垂直整合的趋势与现象:制订产品统一规格、建立公用的测试验证平台。一旦产品有统一规格,产品的设计者与应用者无须另行繁复的沟通;而有公用的测试验证平台,产品也无须针对个别汽车厂,另外进行逐个内部冗长的验证程序。半导体中最大的次产业DRAM就是受惠于此发展策略。所有公司生产的DRAM的所有规格是一样的,并且可以互换使用,所以DRAM也被戏称为「大宗商品」。DRAM公司之间用以差别化彼此产品者,只有产品的推出时间、价格与可靠性等几个因素。因为有这统一规格的因素,市场形成完全竞争,产品价格大幅下降,在使用者端—在过去是电脑厂商,而后又加入手机厂商—倾向于大量使用以提高系统效能,此又进一步促成扩大DRAM市场,DRAM遂成半导体的最大次市场,相关制造业者也有能力累积足够资金,成为2000年以前整个半导体产业制程技术的推手,持续半导体为高科技产业的属性。此外,其主要的应用,如产业电脑和手机也得以快速发展,这是一个半导体产业与系统产业双赢的策略。将此策略施用于汽车半导体零件,很可能也会有类似的效应。事实上半导体的行业组织国际半导体产业协会(SEMI)正在先推动功率半导体的统一规格—因为电动车的量产会先行发生,期待此一措施可同时促进半导体产业及汽车产业的发展。笔者看到半导体之于汽车产业的图像,乃以下景况:半导体产业将分散制造厂址,满足区域供应的需求,这已经是现在进行式;但是仍会保持集中研发,以加大研发的规模经济,维持半导体产业的高科技属性,这是现在完成式也是未来式。在产品面上,汽车厂会保有自驾芯片的设计。这是汽车厂的核心竞争能力,无可让予。其他的零件则会逐渐建立统一规格、公用测试验证平台,这会提供汽车厂多元的、便宜的零件供应来源,而半导体产业也同时受益于市场扩大、交易成本降低以及规模经济的形成。至于汽车产业内垂直整合半导体制造的企图,这是过去已验证过的艰难道路,他们有我的祝福。
2023/1/6