智能应用 影音
DForum0809
DTR0829
林育中
  • DIGITIMES顾问
现为DIGITIMES顾问,1988年获物理学博士学位,任教于中央大学,后转往科技产业发展。曾任茂德科技董事及副总、普天茂德科技总经理、康帝科技总经理等职位。曾于 Taiwan Semicon 任谘询委员,主持黄光论坛。2001~2002 获选为台湾半导体产业协会监事、监事长。
先进微影技术发展(二):纳米压印与定向自组装
除了纯粹光学的方法外,还有其他方法也可以用来定义半导体的精细图案。纳米压印微影(Nano Imprint Lithography;NIL)也发轫于90年代中期,至今还未进入量产,但是其分辨率经验证已可达10 nm以下。NIL的操作是先以电子束微影(electron beam lithography)在「光罩」(其实更像是模版)上写下欲转录图形的阴刻,然后压印在已涂布低粘度(low viscosity)的「光阻」(这物质其实与光敏无关,只是用来抵挡蚀刻)的矽晶上,让光阻流入图形阴刻中的沟槽后,以紫外光照射用以固化(curing),形成光阻覆盖图形。后续的工作就如同一般的制程一样,开始蚀刻光阻未覆盖的区域。NIL有能力用来制造出3D图形。如此操作可以省却复杂的光源及庞大的透镜/反射镜所组成的光学系统,而且在关键层(critical layer)可以只用1次操作完成,所以预期的产量较高。一个微影系统的能力通常以图形化(patterning)、叠加(overlay)以及量产能力(throughput)来评估。其中图形化是指生成所需图形的能力,主要是分辨率;而叠加是指上下2层图形的对齐精准度。目前的进展是对于3D NAND产品NIL的图案化及叠加能力已达满意程度,等待量产能力达标后,即可投入产线。对于DRAM,图形化能力已达14 nm (1a)节点,叠加能力犹待展示;存储器是NIL比较可能的先期应用。NIL技术主要由日本所开发,佳能(Canon)在90年代未能接受美国授权EUV技术后即转向NIL方向发展。晶圆厂方面,东芝(Toshiba)于2000年初即投入研发。目前威腾电子(Western Digital)想收购东芝的原因除了扩大生产、研发的规模经济外,东芝拥有NIL技术、可用于投入MRAM的生产也是吸引力之一。另外,国内也开始投入NIL的研究,这是国内半导体技术自主化中的一环。定向自组装(DSA;Directed Self-Assembly)是与前述2种技术完全不同概念的运作,DSA也发轫于90年代中期,其时复杂系统(complex system)领域中的热门研究题目之一是元胞自动机(cellular automata),它是指一个单元可以用简单规则建立一套复杂系统的模型,DSA就是藉助此概念所发展出的方法。如此跨领域创新,在半导体学院可教不来。 光学微影(photolithography)与NIL都是从上而下(top-down)来定义图形,亦即图形先从巨观尺度定义完成后再去处理图形中的内容物质;而DSA则是由原分子阶层由下而上(bottom-up)组成所需图形。  DSA使用嵌段共聚物(Block CoPolymers;BCP)当成主要材料,常用的材料为聚苯乙烯嵌段聚(甲基丙烯酸甲酯)[poly(styrene-block-methyl methacrylate);PS-b-PMMA]。DSA是2条互斥(repulsive)的高分子链以共价键衔接在一起,对于其他的化学物质有不同的亲和性(affinity),这是用来操纵形成不同图案的主要机制。DSA亦可形成3D图形。DSA的实际运作首先要形成引导图形(guiding pattern)—引导BCP自组装成需要图形的外在框架,有2种主要方法:图形外延(graphoepitaxy)以及化学外延(Chemoepitaxy)。前者是先以微影方式定义引导图形(guiding pattern)的3D拓朴形状,譬条状平行沟槽,然后在沟槽壁上或沟槽底部涂布上特定化学品,沉积BCP于其上。2条高分子链中的1条对于以涂布的化学品具有高亲和性,黏附于其上,剩下的就靠高分子之间的自组装,形成需要的图形;化学外延则是在基板上直接涂布较高密度的化学品,剩下的也全靠高分子之间的自组装机制。DSA既然也需要微影技术来定义图形,为什麽还需要DSA?原因是DSA自组装形成图形的密度较微影技术为高,目前DSA的分辨率已达12.5 nm,而且还在继续向下发展。DSA搭配EUV、做为增强EUV分辨率的手段是目前考虑的使用方向之一。相对于NIL,DSA还需要更长的时间才会成熟量产。DSA在量产的2个预期的主要应用为线/间距(line-space)和接触(contact)图形,前者是存储器金属层的最关键技术,因此存储器公司对DSA的投入较深。目前的微影技术其实离最终极的原分子尺度并不太远,但这并不意味着对于原分子尺度的运作操控将止步于此。像NIL与DSA都跳脱传统光学微影系统的思维,利用新的物理、化学机制与材料,更多基础科学的投入才能容许半导体产业走更长远的路。延伸报导先进微影技术发展(一):既有设备路径的延伸
2023/3/24
先进微影技术发展(一):既有设备路径的延伸
微影技术是半导体产业用以定义精细图案的主流手段,目前最先进的技术是极紫外光(EUV),最近的量产设备为ASML 的TWINSCAN NXE:3600D。使用的光源是由二氧化碳雷射打到锡(tin;Sn)电浆(plasma)后,产生13.5 nm的极紫外光。数值孔径(Numerical Aperture;NA)为0.33,这个数值反比于分辨率(resolution,或为Critical Dimension;CD);微影技术中NA愈大愈好。其分辨率为13 nm,生产能力(throughput)每小时可以处理160片晶圆。这机型支持7纳米、5纳米制程的量产。有一个常有的误解,是5纳米制程其实并不代表其分辨率恰好为5 nm,这数字是技术节点(technology node)的名称。过去此数字的确是代表此一制程的临界尺度,譬如逻辑制程的闸极长度(gate length)或者DRAM的半金属间距(half metal pitch)。但是现在晶体管3D化、制程变得复杂,节点的命名代表的是依照摩尔定律演化曲线投射的晶体管数目、晶体管开关速度、功耗的等效名称。微影技术的后续发展呢?据ASML最近的财报表示,改进的方向是往增大NA的方向,从目前的0.33增大为0.55,后者称为高数值孔径(high NA),可以进一步提高分辨率。另一个方向是增加生产能力至220片,降低晶圆厂生产成本。理论上一个光源的分辨率为其波长的2分之1,譬如EUV现在的光源波长为13.5 nm,理论上其单次曝光的壳达到的最佳分辨率约略小于7 nm(重复曝光可以进一步改善分辨率)。用较短波长的光源藉以达到更高分辨率是以前理所当然的路径,微影机的光源一路从g-line(436 nm)、h-line(405 nm)、i-line(365 nm)等可见光进展到KrF(248 nm)、ArF(193 nm)的深紫外光(DUV),主要的进展都是靠缩短光源波长来改进分辨率的。但是从DUV迁移到EUV牵涉到结构上重大的变革,有2个重要因素。在光源方面,没有自然的原分子可以被激发后释放出EUV,所以必须以二氧化碳雷射去多次激发锡电浆。另外,EUV被几乎所有的东西所吸收,包括空气以及石英(以前用来做光罩的材料)、透镜等,所以所有的EUV光学系统都得改成在真空中以镜子反射式的呈像,这是一个颠覆式的重大变革。EUV的研究肇始于1990年代初,当时DUV的半导体量产机台还未导入量产呢!之所以要花20几年的时间来研发,因为是对一个更精密系统的重新创造。而且目前的EUV是一步到位的研发。EUV的定义是波长落于10~210 nm之间的光,目前EUV的波长13.5 nm已在EUV定义的边缘,波长再短就是x-ray了。到那时候又是另一套全新系统的开发。X-ray 也会被空气吸收,而可能的光源之一是1990年代就提议过的同步辐射,那可又是一场全新的游戏。所以沿着光学微影方向发展的下一步呢?比较大的可能是超高数值孔径(hyper NA),NA=0.75,这可以让分辨率比目前至少再提高个2倍。配合其他常用的制程手段,譬如多重曝光、过度蚀刻等,看能否接近矽原子的天然分辨率极限。这个路径虽然是演化式的,但是研发经费仍然是天文数字。后面有没有足够的高端应用来分摊这前头的庞大研发经费,这是个经济问题。 
2023/3/23
电动车电池(二):研发竞争与地缘政治
美国能源部公布自2008年至2022年以来,电动车电池的价格下降89%。降价的2个主要原因是因为量产以及电池技术的进步。量产的驱动力来自于电动汽车量的增加,而规模经济的效果自不待言。技术的进步值得一提,其中研究主轴自然是材料,但范围包含广泛的电化学、电极材料、电解液、催化剂、机构、监控等。在这统计的14年中,不仅纳米科技一日千里,容许工程人员对微小物质的操控,而且这段时间也是材料科学成长出新的研发臂膀期间,理论的新手段如第一原理计算(first principles calculation)、机器学习乃至量子运算等,纷纷被应用到电池材料的研发。这些手段在进入实验之前,可先预测目标材料种类及特徵参数,实验结果往往也与预测相近,这些开发手段的改变大幅缩短开发时程、降低开发的经费。掌握这些新研发方法,乃电池研发竞争的主轴之一。车用电池发展与注重企业ESG的年代也重叠,因此企业经营必须将整个生态环境计入经营考量,企业再无外部成本可言。锂离子电池中使用较多的锂、镍、钴等金属,如果按照目前的使用效率及市场成长估算,目前已知的矿藏量可能在10年后告罄,所以分级使用以及资源回收势在必行。譬如电动车电池在充电率降至90%之后,经厂商修整再移至再生能源当储能设备使用,最终进入资源回收的循环。电动车电池一直存在着地缘政治的议题,主要原因是电池是电动汽车的核心,而国内掌握全世界60%电池组制造,高度集中的比例在今日地缘政治的环境下,令人担忧电动车电池也会被用来做为战略杠杆工具。笔者有几个理由,认为这是过虑了。第一个原因是原材料。世界的锂矿藏大部份在南美洲三国:玻利维亚、阿根廷、以及智利,其次是澳大利亚。国内有70%的原材料依赖进口,但是国内对于矿藏本身可能拥有部分所有权。如果锂离子电池一旦升级为战略物资,各国普遍有政府可以徵用的法令,启动杠杆战略的可能,国内自己先反受其害。第二个理由是国内虽然电池组产量占全世界60%,但是电动车产量亦占50%以上,而且上升势头甚猛,譬如2022的出口辆数就较2021的多1倍。在自己使用供需略近平衡的状况下—而且电池成本目前占电动车成本近40%,本身价值不菲—将电动车电池当成战略杠杆武器使用是不切实际的。一旦供应链重组,国内自己受伤害的机率很大。第三是新电池的发展仍然在持续发展之中,锂离子电池未来是否仍然是主流产品仍在未定之天,这也是各界关注电池产业发展的焦点。近期趋势是重回钠离子电池。虽然钠离子电池因为钠的原子序较大,其比能量目前处于100~150 Wh/kg,仍然略逊于锂离子电池的120~180 Wh/kg,但是其原材料价格便宜甚多:碳酸钠(sodium carbonate)每吨就300美元上下,而碳酸锂(lithium carbonate)2月价格略低于50万美元。二者价格有上百倍的差距。钠离子电池还有其它的功能性优点:可以在较低的温度工作,也没有过放电(overdischarge)的问题(锂电池电力完全用完时负极可能受损);钠离子电池的导电率也较高,所以比功率、充电速度也较高。另外,钠离子电池在短路时升温较锂离子电池慢,相对较安全。锂离子电池的负极(anode)通常是石墨(graphite),正极(cathode)常用钴酸锂(lithium cobalt oxide);钠离子电池要复杂得多。负极因钠离子较大,没办法嵌入石墨中,必须用硬碳(hard carbon),而硬碳价格很高,一吨在十数万美金之谱,这降低了钠原材料的成本优势;而正极材料亦较钴酸锂要复杂得多。即便有这些工程的挑战,预计今年(2023年)钠离子电池会进入量产,而锂离子电池下半年可能会产能过剩。电动车产业从锂离子电池迈向钠/锂离子混合电池的过程正在发生之中。在更长远的未来,氢燃料电池(hydrogen fuel battery)—如果可以解决工程问题的话—是更理想的电池产品:原料氢和氧都没有储藏量的问题,而使用后的产物是水,也无环境污染和回收的问题。因为有这些可能的技术急转弯的新产品,以锂离子电池当成战略杠杆物资的机率并不高。毋怪乎有些大的传统汽车厂能气定神间的在一旁静观,没有加入锂离子电池的竞争。目前只是初发韧期,所以电动车电池目前还没有统一规格,一切有待观察。延伸报导电动车电池(一):材料演变与应用型态 
2023/2/10
电动车电池(一):材料演变与应用型态
电池是将电能或其他能量先转化成化学能用以储存能量,使用时再将所储存化学能转化成电能的电化学(electrochemistry)机构。电池的主要结构有3个部分:负极(anode)、正极(cathode)以及电解液(electrolyte)。电解液的功能是分隔负极与正极,并让带有电荷的电子和离子于负极与正极之间穿梭流动。电池自问世至今已超过160年,其样态历经过4种主要的材料:铅酸(lead acid)、镍氢(Nickel Metal Hydride;NiMH)、斑马(ZEBRA,Zero Emission Battery Research Activity;NaNiCl2,主要成分是钠、镍、氯)以及锂离子(lithium ion)电池。铅酸电池现在还在服役,就是常见的汽车用以点火启动电池。但是我们要谈的电动车电池(Electric Vehicle Battery;EVB)是牵引(traction)用的,基本上是输出力、驱动马达、拉动电动车,与点火用电池的结构和设计略有不同。锂离子电池的大量应用则自然是从手机的移动应用开始,主要考量当然是其轻盈可携,之后这个好处就自然的被引入电动车电池的应用。从这电池系列的演化轨迹,我们发现了一个有趣的趋势。如果把各种电池主要的金属材料的原子序标出的话,依序是82、28、11、3。这代表整个产业对电池金属材料的演化是一心一意朝向轻盈的方向迈进。事实上,锂已经是最轻的金属,比它轻的元素只有氢和氦,全是气体,也不是金属,无法当成电极来导电。一个完整的电动车电池由几千个电池单元(cell)组成。先是由3、4百个电池单元串联和并联的方式组成1个电池模块(module),十几个模块再组成1个电池组(pack)。以Tesla Model 3为例,其电池组就由7、8,000个单元组成。每个模块中有冷却机制(cooling mechanism),并且用电池管理系统(Battery Management System;BMS)监控电池的健康状况(State Of Health;SOH):包括温度和电压等,以维持电池在安全的参数区间内工作,并监控充电状况(State Of Charge;SOC)。一旦电池的温、压异常,电池上的保险丝会熔断,以维护系统安全。通常电压异常和保险丝熔断是电池需要更换的2个主要原因。评估电动车电池对应用的妥适性时,有6个重要的指标:比能量(specific energy)、比功率(specific power)、生命周期(life span)、性能(performance)、安全性(safety)与成本(cost)。这里的比能量指的是每公斤电池能储存多少的能量;比功率是电池在每单位时间内能输出多少能量。前者牵涉到电动车能走多远,而后者则关于电动车能跑多快。生命周期指电池能充放电的次数,佐以电动车平均使用频率,也可以粗估电池使用年限。安全性的考虑主要是在高热、升温的环境下,或者电池遭撞击、刺穿的状况下,锂离子电池容易燃烧、爆炸。性能的考量较多样,包括充电速度、低温使用等特性。成本毋庸说明,目前锂离子电池在量产日增的条件下,价格快速下降。但是未来势必面对临锂矿逐渐枯竭的状况,成本进一步继续下降面临挑战。比能量是电动车能上路的基本考量,这决定一定重量的电池能让电动车行走多远?这是每一部能上路的电动车都要挂心的事,反过来这又决定1部电动车需要携带多少重量的电池?如果电池重量本身占整部电动车重量的比例过高,能搭载的重量就很受限,毕竟电池的能量很大一部分是用来携带电池本身的重量。目前锂离子电池的比能量约在120~180 Wh/kg之间,这是所有型态电池目前所能达到最好的数值。这6个因素在不同应用时需要针对应用均衡的考虑。目前对电动车电池应用工程实务的做法是稍微妥协比能量和比功率(因为稍有余裕),以换取较快的充电速度、较长的生命周期以及较高的安全性。将锂离子电池应用于电动车上的表现如何呢?目前进展状况是,以Tesla Model 3为例,一部1.5吨的电动车携带400kg的电池大约可行驶400公里以上,30分钟内可以充满80%以上的电能,但是电池重量大约占电动车总重量的3分之1!而上述的车行距离、电池重量、充电速度等数据,只能说是堪称勉强满足需求。锂已经是原子序最小的金属,轻无可轻。因此如何改进电池中的其他材料,譬如电极材料、电解液、催化剂等成分,以及电池的结构和控制等因素,以提高电池储存电力、电化学能转换效率,是目前电池研发重点。
2023/2/9
半导体产业在汽车业的机遇与挑战(二)
半导体产业要因应汽车产业趋势和现象所必要的变革,可从过去半导体产业的历史中取经。车用半导体有一小部分需要用到高端制程,譬如L4、L5的自驾芯片,其中具备的机器学习功能,所用的制程自然与GPU类似,需要最先进的制程;其余大部分的芯片则以较成熟制程对付即可。但这不表示这些芯片非属高科技产品-高科技产业需要持续的投入资金研发,不断创造新的经济价值。譬如功率元件(power device)虽然毋需精细制程,但是其元件的材料和结构仍然还在持续研发当中,以求提升耐压、高频、可靠性等性能。现在高压功率元件使用的是宽频隙(Wide Band Gap;WBG)半导体如碳化矽(SiC)、氮化镓(GaN),但是超宽带隙(Ultra Wide Band Gap;UWBG)半导体如钻石(diamond)、氧化镓(Ga2O3)、氮化铝镓(AlGaN)、氮化铝(AlN)等新材料,与使用这些材料设计的高压功率元件研发已然上路,所以车用半导体零件的高科技特性仍然稳固,这一点对如何因应产业环境变化的策略制定是很重要的基础因素。这2类汽车半导体对于汽车厂的需求与现象,也应该各自有策略性的回应。对于需要先进制程的芯片,代工模式仍然有明显的优势:集世界各式逻辑芯片需求之力,取得研发的规模经济;相较之下,汽车厂的内部垂直整合半导体制造模式难以施行,因为存在利益冲突。即使客户大如华为、Tesla,从来也只想自行设计,而非自行制造高端芯片。所以对于先进制程芯片,半导体产业所欠缺的只是区域供应链—Tesla计划在台积电美国厂用先进制程生产高端自驾芯片,其中当然有源于疫情期间武汉汽车零件供应断链事件,以及半导体产能不足问题取得教训的考量。是故,这类车用半导体所需要的改变只是生产设施接近客户,并且有分散来源及产能调配能力。这是目前半导体业乃至于电子业正在发生的事。其他类的半导体汽车零件就比较麻烦,如功率元件、MCU、传感器、通讯元件等。这类半导体零件,有个行之已久的半导体策略,因应区域供应链以及汽车产业内垂直整合的趋势与现象:制订产品统一规格、建立公用的测试验证平台。一旦产品有统一规格,产品的设计者与应用者无须另行繁复的沟通;而有公用的测试验证平台,产品也无须针对个别汽车厂,另外进行逐个内部冗长的验证程序。半导体中最大的次产业DRAM就是受惠于此发展策略。所有公司生产的DRAM的所有规格是一样的,并且可以互换使用,所以DRAM也被戏称为「大宗商品」。DRAM公司之间用以差别化彼此产品者,只有产品的推出时间、价格与可靠性等几个因素。因为有这统一规格的因素,市场形成完全竞争,产品价格大幅下降,在使用者端—在过去是电脑厂商,而后又加入手机厂商—倾向于大量使用以提高系统效能,此又进一步促成扩大DRAM市场,DRAM遂成半导体的最大次市场,相关制造业者也有能力累积足够资金,成为2000年以前整个半导体产业制程技术的推手,持续半导体为高科技产业的属性。此外,其主要的应用,如产业电脑和手机也得以快速发展,这是一个半导体产业与系统产业双赢的策略。将此策略施用于汽车半导体零件,很可能也会有类似的效应。事实上半导体的行业组织国际半导体产业协会(SEMI)正在先推动功率半导体的统一规格—因为电动车的量产会先行发生,期待此一措施可同时促进半导体产业及汽车产业的发展。笔者看到半导体之于汽车产业的图像,乃以下景况:半导体产业将分散制造厂址,满足区域供应的需求,这已经是现在进行式;但是仍会保持集中研发,以加大研发的规模经济,维持半导体产业的高科技属性,这是现在完成式也是未来式。在产品面上,汽车厂会保有自驾芯片的设计。这是汽车厂的核心竞争能力,无可让予。其他的零件则会逐渐建立统一规格、公用测试验证平台,这会提供汽车厂多元的、便宜的零件供应来源,而半导体产业也同时受益于市场扩大、交易成本降低以及规模经济的形成。至于汽车产业内垂直整合半导体制造的企图,这是过去已验证过的艰难道路,他们有我的祝福。
2023/1/6
半导体产业在汽车业的机遇与挑战(一)
在地缘政治的影响以及疫情造成的断链之后,往昔的全球贸易正在重整供应链,可能的方向之一是区域供应链。对于台湾经济倚赖甚重的半导体而言,区域供应链也可能带来结构性的变动,也需要一些对策来因应这些变革,本文想探讨的主题。与半导体较紧密相关的产业分别是信息产业、汽车产业以及通讯产业。此3个产业也是现在与未来半导体产业的主要应用市场。车子卖出后 才是长期服务的开始台湾对汽车产业特别寄以厚望。一方面是汽车半导体零件市场目前的成长率较其他产业要高,预计到2030年,半导体有望占汽车制造成本50%;另一方面,由于台湾的半导体优势以及汽车产业中过去的「引擎障碍」消失,台湾有可能一圆当年在工业化过程所错失的梦。汽车产业远较消费性电子产品复杂。制造、销售完才是长期服务的开始。汽车的平均使用年限在美国平均近12年,如果计入统计的长尾,维修零件的备料要求可能长达20年。法律、基础建设、召回等市场环境及风险影响营运的重大因素,也非汽车厂能单独掌握的,这些都需要长期的部署。毋怪丰田在面对这麽许多的电动车、自驾车的后起之秀时,仍然气定神闲—这些部署都需要区域性而且是长期的努力。台湾有半导体产业的基础,固然有利于切入电动车乃至自驾车产业,但是要从中获利并非必然结果。区域化供应链会让从零件生产制造一路到维修的产品,需要有强而有力的当地因素,特别汽车产业具有前述的因素,台湾要以自有的品牌建立全产业链的区域化服务,近乎平地起高楼。如果只做半导体零件呢?毕竟这部分市场有机会占汽车制造成本的50%,在更长远的未来,更有可能攀升至70%。即使现在无法做品牌、整车的全流程服务,单只是半导体零件本身就是一个极其庞大的市场,可能大过电脑和手机的总和。但是在目前的状况是,部分车用半导体零件需要定制化,而其产品验证是一个极漫长的过程,保证供应期又远超过一般晶圆厂平常愿意承担的期限。车用半导体垂直分工 已是现在式从汽车厂的观点,如果产品主要的经济价值绝大部分由其他产业创造,则汽车厂有沦为装配厂的危机。另外,汽车厂在此次疫情也吃足传统汽车零件以及半导体零件供应短缺的苦头,所以汽车厂也开始考虑垂直整合进半导体设计、制造,特别是从电动车起就会开始使用的功率元件,譬如博世(Bosch)和比亚迪,目前都已拥有自己的晶圆厂设计、制造功率元件。这是个新的现象。这个考虑早在手机年代就开始浮现,像华为建立海思,掌握手机最主要加值的部分。Tesla以及通用汽车(GM)旗下的通用自动化巡航(Cruise)也开始自己设计L4、L5 高端的自驾(Advanced Driver Assistant Systems;ADAS)芯片,动机也是类似的,只是其企图到目前只止于芯片线路设计。设计公司、晶圆厂附属于汽车厂内部在芯片设计,在产品验证上拥有内部沟通的优势,可以大幅缩短时程。另外,近乎稳固的供给与需求对应关系也在发展早期容易生存。缺点是设计公司、晶圆厂不太容易有外部客户,可能存在的利益冲突难以避免。回顾半导体发展的历史,很多的系统公司都曾经历系统设计制造和半导体零件设计制造垂直整合的阶段,最早移转半导体技术给台湾的RCA(Radio Corporation of America)就是一个典型的例子。但是这些垂直整合的晶圆厂最后多以消散告终。主要原因之一就是前述的利益冲突使得其经营的规模经济无法成长,回过头来这也限制营业利润与可使用的研发资金,在需要持续投入资金做研发的高科技产业无异自绝前路。汽车产业电动化及自驾化,为半导体产业开创快速成长的新市场,但是区域供应链及汽车厂与晶圆设计、制造垂直整合的趋势与现象也对半导体产业构成挑战,策略性的因应这两个挑战将无可回避。
2023/1/5
国内半导体产业发展,为何不如预期?
国内发展现代半导体技术迄今已超过30几个年头,国家资本对于产业的支持比日、韩、台早期发展时的政府支持,有过之而无不及,而资金支持及政策优惠在产业经发展已达4分之1世纪后,仍然是现在进行式,甚至力度还在增大之中。然而发展结果与预期并不相符,至少与产业性质稍似的国内面板产业的发展结果大相迳庭。主要原因自然是半导体产业的本质较复杂,但是我想讨论的,是因发展体制可能造成对于半导体产业成效的影响。国内半导体产业发展体制所影响的第一个因素,是因发展初期半导体厂资金需求相对庞大,国有资金无可避免的必须扮演主要角色。但是国有资金在其投入后并未功成身退。虽然后来半导体企业开始自公开市场募取资金,国有资金仍然保有主导权。纯粹利益取向的社会资金在半导体产业中无法促使企业天择淘汰,形成完全竞争。这项因素现在已经被清楚地意识到,国内官方新立的半导体研发项目在目前的规定中,对于国家资金的占比是有最高限制的,这也许能消弭部分过去所见的不利影响。但是国家资本因为地缘政治此时的介入,只能持续的投入。目前国内的一般社会资金仍视半导体为极高风险的产业,适宜进行半导体新项目投资的,似乎只有相关连的大企业,譬如汽车、手机、家电等相关企业,具有充足资金、并追求半导体零件与系统的垂直整合利益。然而,如此的投资模式在上世纪各国经历过长期考验,因为与晶圆厂客户存在利益冲突的可能,不利于晶圆厂规模经济的成长,企业本业的管理模式也未必适用于晶圆厂管理,这是可能有副作用的权宜之计。第二个因素是企业负责人的任命,这项因素与前项因素息息相关。由于国家资本占主导地位,负责人自然也由国家资本方指派。在过去的例子中,具有行政、管理、财务等专长的居多数。但是在高科技产业中,特别是半导体产业,负责人具有科技背景、产业经验绝对是企业成功的关键因素之一,至少此现象在统计上有极其显着的意义。此道理也很浅显,企业负责人要具有产业技术趋势的远景,才能做有竞争力的长期规划以及重要判断。这一点日前似乎也被关注到了。从最近重整后长江存储董事长陈南翔的任命即可以看出端倪。陈南翔具有半导体工程背景、长期产业经验。虽然此新任命案不能说一定是新趋势,但是至少这是一个新思维的开始尝试。再来是人力资源的配置。目前国内的半导体产业就业人口与计划需求还存在巨大缺口,还缺20万人以上的差距(2022年统计估为25万人)。但是在教育体系的供给面上,这并非是一个重大问题,国内近期微电子专业的本科生(即台湾所指的大学生)和大专生1年约有20万人左右毕业(2020年时人数约为21万人),这个缺口并非无法填补,要解决的是就职意愿的问题。在国内目前的经济环境下,许多薪资原先超过半导体的产业,如金融、银行、网络、房产等,在目前的经济发展状况有可能逐渐被半导体超越。但是这些就业人员的专业养成过程和专业配置,即使在国内内部也是具有争议性的议题。迟至去年(2021年),微电子才正式成为国内高校(大学)的基础分类系别。国内的半导体从业人口,绝大部分是微电子专业、少部分是物理系,这与其他国家的远较广泛的专业配置—包括电机、化工、机械、材料、物理、化学、资工等—截然不同。在目前半导体产业的增值手段已从单纯的制程微缩,变成较多元的制程微缩、先进封装、创新材料,甚至再加一点点的生命科学的多元趋势,如果人力资源过于集中于微电子专业,显然不利于国内半导体产业更长远的发展。另外,国内半导体产业2022年有80%的就业人口是本科/大专学历,硕博生比相对较低,这与高科技产业的特性—以持续的研发创造新经济价值—是有所扞格的。最后是产业规模经济的视角。高科技产业需要持续投入研发以维持获利竞争力,而持续的研发活动其经费自然是从营业利益中产生。要产生足够的独立自主研发经费,公司的营业额需要在其所在的次领域中至少占相当比例,这个比例粗略的来说大概是世界市场的15%。从严格的意义上,国内目前还没有先进的晶圆制造厂已进入了稳定的良性循环之中,世界市占比最接近此比例的是中芯国际和长江存储。这个产业宏观考虑最近似乎也进入国内产业调整思维之中,已有几个缺乏规模经济的个别公司有合并的想法。至2022年10月为止的统计,国内半导体自足率仅达16.7%。国内在半导体市场基础科研上具有相当的优势,检视过去体制、重新调整发展方向可能是国内半导体产业新发展阶段的有效手段之一。
2022/12/22
台积亚利桑纳州厂First tool-in 按赞!
台积亚利桑纳州厂(Arizona)的「首批机台设备到厂」(First tool-in)典礼成为产业新闻焦点,评论却多持负面,颇多认为是台湾核心竞争力的外移。
2022/12/8
量子电脑与净零
1981年,理察·费曼( Richard P. Feynman, 1918~1988)在一场研讨会中提出「以电脑模拟物理」(Simulating physics with computers)的观点,这是他主张量子运算的滥殇。
2022/11/10
半导体产业人力资源危机与管理(下)
在人力资源供给方面,相关的政府作为(从收效最长远的数起)包括人口政策、移民政策、教育政策到产业政策。
2022/9/8