智能应用 影音
智能农业与品茶之道
英国友人找我谈智能农业,询问能否检验茶的品质,此难度虽高,但有机会以我们发展的物联网技术AgriTalk完成其愿望。AgriTalk已有能力完成水质品评,因为我们曾经建置智能渔塭养殖,已经有分析水的基本知识与经验,知道如何利用水底传感器来量测水的硬度与酸硷值计算方式,可以进行茶水的分类品评。茶叶的分类也办得到,在茶叶发酵与烘焙过程,AgriTalk能以传感器(温湿度等)监测,再利用基因定序,可以品评6种不同发酵程度和不同烘焙程度的茶。真正的挑战是茶的风味,仍然依靠专家的感官来品评,包括气味、味道以及口感。现今电子鼻的传感准确度远逊于常人,遑论专家。味觉传感器发展仍在初阶,而口感更难定义。我们仍有很大努力空间。英国友人说他们爱喝茶已到了上瘾程度。在冷战时期,英国怕被苏联丢原子弹,盖了避难室。接下来绞尽脑汁地想,如何将大量的茶叶挤进避难室,供应英国佬喝下午茶。在英国的国家档案馆内有一份1955年英国食品部的极机密文件,当中写着,核战后茶的供应将非常吃紧,每个人平均每周吃不到一盎司,严重影响英国人「身心健康」。为了反讽英国人的爱喝茶,弗莱明(Ian Lancaster Fleming,1908~1964)将笔下的007庞德形容成不爱喝茶的人。传闻英国女王喜欢国内的绿茶。我曾为文提到英国女王与北埔「东方美人」茶的故事。发表之后,峨眉乡林文秀先生特地告知我,最顶级的东方美人茶主要生产地是在北埔旁边的峨眉乡。北埔是茶叶集散地,峨眉的茶都送到北埔来贩售,两地陪衬,相得益彰。林先生有雄心壮志,希望在峨眉乡塑造一个「东方美人街」,形成峨眉文化商圈,带动地方建设。他带我到峨眉乡的几个茶厂见识。其中徐耀良茶园及杨隆茶园的产品曾多次在全台湾的竞赛中赢得冠军。我品尝2个茶园的东方美人茶之后,果然花香、果香、茶香满溢,东方美人茶的茶树在小绿叶蝉的叮咬下,防御机制产生自然反应,散发出花果蜜香,成就了特殊风味,远胜于过去我喝过的茶。我询问其种植方式,他们都毫不藏私地与我分享。我综合其说法,种茶之道无他,细心及耐心是重点。当中有些需要耐心的工作可以利用物联网、大数据及人工智能(AI)技术进行,我相信科技化后的东方美人茶必大有可观。
崛起中的国内第三类半导体产业
不久前我请教台湾一位长期投入碳化矽(SiC)元件开发的教授,我问他,你使用过不同厂商的基板,哪一家的表现最好?因为碳化矽基板占其制作好晶圆成本的一半以上,而且又是技术难度最高的部分。他莞尔地对我说,要说实话吗?他的结论是国内的表现最好,而且价格最具有竞争力,台湾生产的及美国的次之,美国厂商因为是IDM,最好的基板大都留给自家用。几个月前有2则新闻吸引我的注意,一则是德国英飞凌(Infineon)与国内的山东天岳、北京的天科合达,签订碳化矽基板长期采购合约,现阶段供应6寸晶圆,而未来将是8寸。2家公司是目前国内碳化矽基板的主要供应商。另一则新闻是欧洲的意法半导体(STM)与厦门的三安光电,计划在重庆建1座8寸碳化硅片厂,剑指国内蓬勃发展中的电动车产业。三安也规划自建1座8寸碳化矽基板的生产基地。英飞凌与意法,占碳化矽元件及模块全球市场50%以上比例,而意法更是率先在2018年供应Tesla Model 3碳化矽元件,此举正式引爆碳化矽风潮。目前全球碳化矽基板的需求量每年约50万片,以6寸为主流,七成以上由美国的2家厂商所供应。国内市占率大概10%,但是随着产能逐渐开出,以及国内在电动车的强劲需求,预估国内碳化矽基板的全球市占率,很快会超越5成。现在碳化矽产业目光的焦点在于8寸晶圆开发,传统6寸以下的成长单晶柱(ingot)的方法,是使用蒸气的昇华法,将6寸的seed wafer置于上端,利用高温炉内材料的蒸气附着于上端晶圆的表面,而得以成长晶柱。此方法最大缺点,乃晶柱成长速度慢且晶柱长不厚,若运用此法在成长8寸的基板,将更形捉襟见肘。上述国内的2家供应商已开始使用新的液态成长法,来成长碳化矽8寸晶柱。此法较接近一般硅片的晶柱成长,在上端可以使用较小尺寸的seed wafer来成长8寸的晶柱,由于不需要到气态,成长的温度也可以较低,同时速率较快,晶柱也可以厚些。但是液态成长法需处理液态材料与固态晶柱的界面,在温度梯度的控制要非常精准,这恐怕不是一般商用炉子能做到的。因此推论国内供应商已经具有自建精确温度控制炉子的能力,事实上一家产能够规模的碳化矽基板厂商,是需要上千台的高温长晶炉,因此自建高温炉是必要的选项,这方面国内的供应商是做到了。我们再来谈另一个第三类半导体氮化镓(GaN)。不久前的一则新闻,美国一家氮化镓元件主要供应商EPC,向美国联邦法院及国际贸易委员会(ITC),控告国内的英诺赛科侵害其在氮化镓元件的专利。事实上英诺赛科从2023年第1季开始,其在氮化镓元件的营收已经跃居全球首位,其在珠海及苏州各有1座8寸氮化镓专属的晶圆厂,以及超过20部有机金属化学气相沉淀设备(MOCVD)成长氮化镓的磊芯片。目前月产能为1.5万片,占了全球总产能一半以上,预计在2025年英诺赛科产能要扩充到每月7万片,以此推估需要70部MOCVD机台。英诺赛科有别于其他主要氮化镓供应商,其商业模式是IDM,在成本上相对是有优势。相同的元件规格,比其他供应商的价格低30~50%。氮化镓元件在2年前,因为65W的手机快充电源插头热门一时,如今市场比较低迷。但是近来在人工智能(AI)服务器所需的直流电源转换,对于中低压氮化镓的需求正在崛起,这部分需要操作在较高的切换频率,及更大的输出电流,正符合到氮化镓的物理特性。如果氮化镓的价格有机会降到略高于矽基功率元件,毫无疑问氮化镓的需求是会起飞的。在第三类半导体研发上国内也是不遗余力地投入。以大学为例,几所着名的大学,如北京清华、浙江大学、西安交大、成都电子科大,甚至南京航天,都成立关于第三类半导体的研究群,训练出众多的硕博士生投入相关的产业。每年IEEE功率半导体最主要的会议ISPSD,国内的高校在第三类半导体的议题上,贡献一半以上的论文。国内第三类半导体厂商的确接受政府为数不少补助,才得以建立今天的产业规模。从已公布的财报而论,山东天岳及天科合达本业都是亏损的,英诺赛科离损益两平是更遥远。在此情境下,各家仍卯足全力来扩产,似乎是不理性的行为。但是综观国内过往在太阳能、LED甚至锂离子电池,在市场还在萌芽之际,便积极地投入产能,只要这个产业的成长性是可被预期的,假以时日,国内拥有这产业的半壁江山,就具有充分话语权。台湾该如何自处呢?在此态势下。多年前个人就说明了,第三类半导体产业需要供应链的垂直整合,而在台湾却缺乏政策上有效的支持,现在再来谈,为时有点晚。我们只有期望在全球两大阵营的僵持下,我们想办法能左右逢源,但这可以维持多久呢? 
纳米压印的初始应用 (二):近期市场
Canon的FPA-1200NZ2C纳米压印机这个型号,其实最晚在2015就已出现在相关的学术期刊上了。已经出现8年的旧机型能够重新上新闻并且吸引注意,最主要的原因在于它将要进入比较大范围的半导体量产应用。  延伸报导名人讲堂:纳米压印的初始应用 (一):技术与挑战纳米压印有2个特性可以有效地拓展它的应用范围。第一,是它不仅适用于2D图形的打印,而且有些3D图形也可以用单一模板来转移线路图形,有效的简化制程。另外一个特性,是纳米压印可以用于任何基板,不只是适用于硅片上。  这2个特性让纳米压印已经开始被应用于一些次领域,譬如生物传感器等。只是这些领域的产值相对较小,未能获得充分关注。  这次新闻受到较多关注的原因,是纳米压印要进入主流半导体制程行列,而且时程明确。  铠侠(Kioxia;原东芝存储器)与SK海力士(SK Hynix)将于2025年开始,以纳米压印技术生产3D NAND Flash。NAND在很长一段时间内是市占率仅次于DRAM的半导体产品类别,纳米压印进入大宗产品的制程行列,意义非凡。  东芝(Toshiba)于2004年就开始以纳米压印试产NAND,目前与Canon和大日本(Dai Nippon)等公司为共同推动建立纳米压印技术生态的主力成员。SK海力士与铠侠素有各式的市场、技术合作,同时宣布采用纳米压印技术也在情理之中。  NAND可以率先采用纳米压印有其技术上的理由:NAND是存储器阵列。一般存储器阵列线路图形高度重复,基础单元结构相对简单。最重要的是其容量设计可以留有冗余(redundancy),如果制造过程中有局部线路图形产生缺陷,可以用硬件方法融断(fuse)受损部分,以原先预留的冗余部分替代,晶圆整体良率可以维持在较高水准。  如果纳米压印要应用到DRAM,缺陷密度的要求也一样可以较为宽容。但是DRAM底部有很稠密的晶体管触点(contact),因此上下层间的对准就变得格外重要,以前纳米微影机的技术规格尚达不到量产的要求,需要再改善覆盖后才谈得到DRAM的应用。至于逻辑芯片,由于线路中大多不是重复的图形,比较少有冗余设计的可能,对于粒子或缺陷极为敏感。目前的纳米微影机仍需降低粒子和缺陷才有办法跨入逻辑芯片的制造应用。  另一个比较有期待的领域是矽光子。纳米压印在转印线路图形时的线边缘粗糙度(line-edge roughness)的表现优于曝光机的表现,因为没有光的干涉、光阻蚀刻等问题,这使得光子在通过这些以纳米压印制造的光元件时,表现更符合原设计的预期效能,而且一般光学元件制造层数较少,层间覆盖的问题没有那麽尖锐。另外,光学元件很多是3D图形的,这正是纳米压印的强项之一。  矽光子还有另外一个机缘。原先在异质整合路线图(Heterogeneous Integration Roadmap;HIR)中计划于2020年矽光子就会出现在异质整合芯片市场中,但是实际上被延迟了。由于人工智能(AI)应用的兴起,大量数据移动的需求要以光的形式来实现,台积电就宣布在2025年开始矽光子的量产。  半导体产业的逻辑,总是会将机器设备的价值利用到最后一刻,善用原始的巨大投资,所以对新设备的引进就有潜在的利用障碍。但是对于新建的产线或厂,只要事前有足够的生产验证,大规模的采用新设备比较有机会。纳米压印恰好于此时较明显的出现在产业的视野之内,不能不说是风生水起的机缘了! 
AI时代企业的关键机会和思维
随着人工智能(AI)技术发展,人工智能已深入人类生活。为了让产业、政府和学术界能够理解AI、GPT等科技的重要性及未来趋势,中华政大企业管理协会特别举办年度企业论坛,邀请国内专家探讨AI时代的产业策略,期许台湾把握人工智能的机会,引领世界经济向前。我被邀请给一个主题演讲(Keynote Speech),在论坛分享「AI时代企业的关键机会和思维」, 以智能城市的发展前景引导出台湾在AI时代的优势和发展策略,并探讨企业在这个时代所需具备的关键能力和思维,以及AI对人类所带来的挑战和影响。为了因应AI所带来的挑战,我呼吁大家学习电脑语言(如Python),因为在未来,电脑语言将成为不可或缺的技能,并能够增强个人的竞争力。今日电脑语言已愈来愈人性化,形同学习英文或日文,大家不应害怕排斥。同时,我也提到在AI时代,数据的重要性变得非常突出,但我们必须注意数据的正确性和可靠性,因为数据的错误可能导致AI错误预测的结果。因此,我们应该重视有效管理和处理大量乾净的数据,同时也要关注隐私和法规问题,确保数据的合法使用,避免引发法律争议。我以白草莓病害侦测为例,经由生成对抗网络(GANs)生成图片训练演算法,我能将病变侦测的准确率由87.50%提升到 96.88%。另一个例子,梅约诊所(Mayo Clinic)和NVIDIA、MGH&BWH临床数据科学中心合作,使用GANs创建「假」脑部核磁共振扫描。他们发现,通过训练算法于这些「假」医学图像和10%真实图像,可以成功识别肿瘤,避免昂贵且艰钜的真实图像收集。关于企业在AI时代应该如何把握关键机会,我以公司部门改造为例,提出了以下步骤。首先,工作人员应该将年度目标与关键成果OKR(Objectives and Key Results)置于一旁,优先找出日常工作中的瓶颈。接着,寻找适合的AI工具,或者藉由询问ChatGPT等技术来撰写能够串连API的程序,进行自动化。完成后,进行测试,一旦成功,便可将自动化流程固定下来。我最后强调,AI在现代社会中已变得不可或缺,我们不应忽视数据集中和计算力的重要性,也应更深入地思考和探讨如何应对AI的发展和应用,因应未来AI所带来的变革和挑战。同时,我们也应更积极地应用AI来解决社会问题,改善人们的生活,期待AI能在未来带来更多的惊喜,为社会创造更多的福祉和进步。
纳米压印的初始应用 (一):技术与挑战
最近Canon发布可以达5纳米制程节点的纳米压印机FPA-1200NZ2C 。纳米压印是半导体制造中将线路设计图案转印到晶圆的方法之一,另一个为人熟知、也是目前产业界中用以量产的主流方法是曝光机。  纳米压印的方法其实很简单,就像用木模板转印图案到红龟粿上一样。红龟粿模板是阴刻,1:1的将龟的图案压在煮熟的糯米粉团上,压印后的图案是阳刻的。这其中没有像曝光程序中牵涉到光源、光学系统、感光、显影、蚀刻等复杂的过程以及精密昂贵的设备,所以晶圆处理程序价格相对较低似乎是理所当然。  关键的技术是压印模板的制造,以及前文中以糯米粉团所比拟的高分子树脂(polymer resist)及整个压印过程。压印模板与欲转印的图型是1:1,所以在制造模板时要有至少与在晶圆上欲转印的图案一样精细的分辨率,这用来塑造模板图样的工具自然是电子束(electron beam)。电子束是半导体业用来在光罩上形塑线路图样的主要工具。  电子的德布罗意(de Broglie)波长是0.08纳米,也就是说电子束理论上的分辨率就是在这数量级。对于任何目的的刻画,这都远超过所需要的精度—这比原子都小!  问题是被电子束用来呈像的物质会与电子发生作用,因此电子束刻画的分辨率极大程度的依赖于使用的物质。目前电子束的分辨率大约在5~10纳米左右,这对于5纳米制程实际的临界尺寸(critical dimension)14纳米便够了。纳米压印还预告未来可以推进到2纳米制程节点,它实际的临界尺寸是10纳米,也还在目前电子束分辨率可触及的范围之内。  以电子束刻画的模版是母板(master plate),接下来就是大量复制。说「大量」一点也不夸张,因为目前纳米压印机每小时产量(throughput)就只有100片上下—这大概只比EUV刚推出时的产量稍高,而模板可以使用的次数在几千次的数量级,大概是几天就得更换。  在纳米压印之前,基板需先滴有高分子树脂(polymer resist),与基板上粘合层(adhesion layer)充分ˇ浸润(wetting)。之后就是将模板压在布满高分子树脂的晶圆,藉压力及毛细现象让树脂延伸入模板图形之中。然后用紫外光固化(UV curing)树脂,取下模板。  纳米压印过去技术发展的挑战和上述的压印程序和使用的物质有直接的关系。过去的几大挑战分别为覆盖(overlay)、产量、缺陷率(defectivity)和粒子。  覆盖是指元件上下不同层间结构的对齐问题,在纳米压印制程中会产生覆盖问题的原因之一是压印过程中树脂被压印而扭曲或变形,以致于上下层之间的相应结构无法对齐。此为纳米压印过去在技术上常被诟病的地方。 延伸报导Canon新NIL系统成本优势 有利芯片制造大众化发展又譬如纳米压印的产量其实取决于树脂滴(resist drop)的大小、扩散速度以及跟基板粘合层的浸润速度,此基本上是材料特性的问题。  这些问题在过去发展的30余年间主要由物质的改善以及一些辅助的机制,譬如上下层对准校正等,这些问题获得相当程度的改善,纳米压印因而逐渐步入量产制程的行列。 (作者为DIGITIMES顾问)
半导体产业奖励促进条例:适用阶段与效果(三)
研究补助金与合作研发中心都是针对半导体技术研究与发展的现金补助,与以税赋减免的方式来奖励企业的技术研发不同。政府可以扮演更积极的角色,执行方式也各有变形。 研究补助金最着名的成功案例之一是曝光机光源的研究。此计划经费的来源是国防高等研究计划署(Defense Advanced Research Projects Agency;DARPA),90年代半导体产业在寻求下时代曝光机光源的过程中最终选择EUV,研发后技术移转,最后在ASML手中经历20年发展终于完成量产,在目前及未来的继续微缩之路独挑大梁。 但是这种大型的基础科技研发计划对于处于发展初期的产业和企业并无太大帮助,也没有办法执行。能够按部就班的丰富产业生态、增加企业存活率者,多是短期产品开发或技术的应用发展类型的计划。  合作研发中心的例子如台湾的工研院,或台湾半导体研究中心。  除了提供技术服务、研究合作、仪器分享、产学合作等预期中的功能外,这类机构还可以有其他至少两样重要的功能:蕴育新创,和企业联手攻关。  在资金环境相对友善的情况下,所研发的接近量产阶段技术,及其相关的研发人员,可以分立(spin off)出新创,使得半导体产业的生态环境变得更丰饶。这原是工研院设立当时的初衷之一。在台韩长期竞争的历程中,这些由研发机构分立出来的新创成为台韩产业发展成截然不同风貌的主要原因之一。这也是在新兴国家产业发展之初就可以采取的措施。 另一个措施在产业发展到一定阶段才能发挥作用。当半导体企业能够成功存活下来,下一个重要的关卡在于如何从营业盈余中产生足够的经费支持独立的研发。政府的所有研发经费补助其实都是在协助企业解决研发规模经济不足的问题。  从接受政府补助到能够支持自主独立研发的过渡期间,企业联合研发可能是较好的方案之一,譬如当初的IST(IBM-Siemens-Toshiba)联盟共同研发DRAM技术。合作研发中心正好可以当成此种研发联盟的平台。 人力资源短缺的问题发生于有半导体产业的几乎每个国家的每个阶段,原因各有不同。处于产业发展初期的国家大概都是因为缺少产业历史因而没有足够有经验的从业人员;而处于产业发展后期的国家有可能是人口基础已经开始下降,如东亚诸国,或者是产业在其国内薪资的相对竞争力不足。人力资源问题政府必须介入,因为牵涉到公权力相关事宜,如移民政策、教育、劳工等,是以劳动力发展和培训必须要成为半导体发展政策的一部分。 值得注意的是对于高级人力资源的养成方法。现在的教育体制有半导体专业化的趋势,譬如半导体学院或微电子研究所。回顾以前半导体的发展历程之中,虽然工程人员以电机背景居多,但是其他理工背景如材料、化工、机械、资工、物理、化学等的也不在少数。现在的半导体的加值轴线,也已经从单一的制程微缩走向多面向,譬如新材料开发的碳化矽、氮化镓,以及先进封装等。这些新方向的开发需要有各类基础科学的支持。接受传统半导体技术教育的无疑比较专精,因而能立即投入生产。但是对于未来半导体的发展、创新是否有利则是大有疑问。政府于劳动力发展和培训的制订必须依发展阶段慎重考虑。  最后要提醒,各类的奖励补贴政策订定时也要考虑国际市场的规矩。WTO订有「补贴与反补贴措施协定」(Agreement on Subsidies and Countervailing Measures;ASCM),禁止特定的补贴行为。譬如第三条(Article 3)中禁止出口补助或优先采购本国产产品,虽然此协议对发展国内家有特别的弹性与考虑。另外,各国亦有反倾销法律用以对付受政府过度补助的不公平贸易兢争。  虽然WTO现今对于全球贸易秩序的规范能力已不如当初设立之时,主权国家的行为也不受法律的管辖,但是上述规范的惩处最终会落在接受补贴的个别企业或产业上,订定产业奖励促进条例时要先将这些后果考虑清楚。
掌中戏的想像
将数码科技结合人文,呈现人生百态,是物联网最迷人之处。罗斯福夫人(Eleanor Roosevelt;1884~1962)说: 「我们是命运的傀儡,无法指挥命运,而是被它塑造。」,但是我们仍努力地想掌握人生,叙述生命的故事。在机缘巧合下,我担任布袋戏西田社的董事,就在掌中戏中发挥想像,布袋戏偶的命运掌握在我的手中。利用物联网技术,我与罗禾淋教授带领学生们创作PuppetTalk,能以智能手套控制机器人偶。于是我们跨越时空将传统布袋戏偶结合现代舞蹈,叙述我们的故事。PuppetTalk计划充分运用机器手臂操控实体掌中戏偶,透过动作捕捉手套纪录舞者在肢体延展时的手部动作,以手势牵动延伸到身体,因此把动作数据化,数据转译控制机械手臂之运动,如此如同再次思考戏偶的「动」到操偶的「姿」,再从操偶的「姿」到身体的「形」。形与意之间,印证偶戏历史在文化脉络中的传承,生生不息。计划第二阶段将加入多轴机械手臂,使操偶动作更趋近原样,使传承可以永恒。2022年,PuppetTalk受邀到德国TANZAHOi国际舞蹈节表演。我们打破德国人的想像,跨越东、西方地界,经由广达电脑提供的5G传输,由德国的智能手套控制台湾的机械手臂及掌中戏偶。我们是如此的贪心,跨越国境,线上操控。南纬集团旗下爱克(AiQ)的智能手套更结合罗禾淋教授的机器人偶及虚拟人物Avatar,荣获2023年日本设计大奖Good Design Award。PuppetTalk利用物联网(IoT)的智能手套传感,可以捕捉并纪录布袋戏大师的手势桥段,以云端大数据收集切割手势桥段,并以人工智能(AI)重组手势桥段,最后再以多媒体进行虚实人偶的互动整合。其技术成果发表于国际学术期刊。在论文中,我写下一首英文诗,并将之翻译成中文:「掌中乾坤有谁知,演戏疯来看戏痴;人生好比布袋戏,曲终人散乐自知。」在此时刻,心中喜乐,觉得可以掌握自己的命运。其实一直想塑造我们的,不是命运,而是旁人。罗斯福夫人忠告我们: 「永远不要让一个没有权力说“是”的人告诉你“不”。」这句话的意思是永远不要让别人说你不能做好某事,而这件事他们自己却从来没有做过。人们不乐见别人成功,看见他们比自己更好,常会阻止别人,并说是做不到的事。我们对自己要有信心,不为浮议所动。经由布袋戏西田社,我亦有缘认识陈耀昌先生(《傀儡花》作者)。他曾笑着说,PuppetTalk和《傀儡花》都有以傀儡影射的深意。《傀儡花》不只反映历史,也反映时代传承,甚至反映族群命运及性格。藉由PuppetTalk的资通讯科技,我们企图掌握自己的命运,寻求永恒的传承。掌中戏是一个文创科技很好的例子,我们由布袋戏西田社的文创需求,连结到广达的5G技术以及南纬爱克的智能纺织技术,有无限想像的空间。
半导体产业奖励促进条例:适用阶段与效果 (二)
税赋减免、加速折旧、研发补助金、财政补贴等,都是以财税的形式补贴半导体产业的投资、设备购买、研究发展所需。 税赋减免一般是从企业营业所得税中减免一定百分比的已投资、设备购买或研发经费,这是一般政府比较喜爱采用的奖励形式。原因是政府给的补助经费其实是企业发展成功后自行创造出来的,是个无中生有的办法。但是这个办法比较适用的产业发展阶段,是产业稍为有立足之地、能加入国际竞争行列的阶段之后。对于甫开展半导体产业国家中的初始企业,既无法减轻投资的负担,也无法增加短期内其生存的机率。毕竟营所税的减免只适用于企业已有盈余产生的状况,而一般半导体制造厂规划的盈余年度至少在公司开始设立厂房的第五年后,能准时达标的已算是其中佼佼者。 因为对起始的企业帮助不大,企业可能以变通的方法来利用。举个有趣的实例。有一个国家对于半导体设备投资有营所税减免的奖励,但是如前所述,这是一笔看的到、吃不到的奖励。于是,半导体企业与金融机构联手「协作」,由金融机构购买半导体设备后租赁给半导体企业使用。由于金融机构是赚钱的企业,一刚开始就可以使用投资半导体设备的营所税减免。从政府所取得的部分税赋减免金额则以降低设备租赁金额的方式,回馈给半导体企业。政府补助半导体产业的意图由于奖励方法的不恰当而被迫部分流向他处;而半导体企业生产设备以租赁的方式租用通常是最不得已的最后手段,好似典当变现。这个国家最终落得12寸厂完全消声匿迹。订定税赋减免条例必须能够精准规范其最终受惠对象。加速折旧容许企业在较短的时间内将设备折旧完毕。譬如台湾的半导体制造设备会计上折旧年限一般是5年,加速折旧就譬如说在3年内折旧完毕,只留残值。这样一来,由于在前三年内折旧金额较高、帐面上的生产成本较高,盈利因而较少,需要缴交的营所税较少。其所提供的实质好处就是让企业能从「未来」调动现金流到现在,能够提前投入资金于扩充产能或研发。这个办法比较适用于长期有盈余、量产主要依赖于少数领先制程的公司,譬如过去DRAM扮演先进制程推手(technology driver)次产业时的三星电子(Samsung Electronics)。对于存活是首要任务的新进公司,这可不是实惠,也用不着、不敢用。加速折旧必然的会扩大初期的营运亏损,其所显示的营运结果会令潜在投资者为之却步。 财政补贴是真金白银,要编列在政府预算之中。对政府是结结实实的现金投入;接受者也是实在的优惠。半导体产业发展初期产业环境欠佳、进入障碍高,现金补助可以有效降低投资风险,降低进入障碍,这是对产业发展初期最有效的补助手段。然而,由于这是政府真实的投入,所以一般财政补贴会綑绑许多附加条件。除了对于投资者的资格审查外,一般也附有一些条件,譬如对就业机会的保障—特别是欧洲国家。 用现金的补助虽然要比较严格的规范补助标的,但是以达到特定技术门槛才给予补助可能不是一个好手段。半导体发展是一个漫长的过程,在产业发展初期能够让企业存活、进入经营良性循环、丰富产业生态才是比较务实的发展策略。
第一部实用的电子计算机
我担任国立阳明交通大学信息学院院长时,学院有不少老旧的大型电脑设备。根据学校流程,这些旧设备应该报废,以免占据空间。我当时觉得这些电脑代表计算机科学的演进,应该予以保存,而有了成立电脑历史博物馆的念头。经过十几年后,这个构想才由彭文志系主任实现,在信息学院的地下室成立博物馆。当初我担任院长时,国外友人愿意捐出一部ENIAC(Electronic Numerical Integrator And Computer;ENIA)部分零件,然而物换星移,最后没成功,相当可惜。ENIAC是首部实用的电子计算机。第二次世界大战时,美国陆军军械部(ARMy Ordnance Department)为了量测枪炮的弹道,出资给宾州大学的摩尔学院(Moore School of Electrical Engineering),研制能进行大量计算的机器,以填写弹道表格。当时军方的联络人是Herman Goldstine少尉,而宾州大学计划主持人是John Brainerd教授,团队成员包括2位学生John Mauchly, 以及Presper Eckert。关于Brainerd对ENIAC的贡献,鲜少人提及。IEEE有文章溢美Brainerd,说:「Under Dr. Brainerd's inspiration, leadership, and supervision the ENIAC was conceived and built.」。但是,其他文件却显示Brainerd曾阻挠ENIAC的发展。Mauchly首先于1942年提出程序(Program)这个名词,并写了一份7页的提案 《The Use of High-Speed Vacuum Tube Devices for Calculation》,建议发展电子设备(Electronic Device)取代机械式计算设备 (Mechanical Calculation Device),认为可借此大幅加速计算。然而Brainerd怀疑其可行性,将之存档,束之高阁。幸好Goldstine看到这份报告,直接要求Mauchly正式提案,由军方提供经费。1943年,发展ENIAC的计划由Mauchly主导观念性的设计,Eckert负责硬件工程。这个计划被列为最高机密,代号为「PX」。ENIAC由18,000 个真空管及1,500个继电器组成,重量约30公吨,占地1,500平方尺,消耗140千瓦电力,需要2部12匹马力的吹风机散热。ENIAC程序设定为外接式,全由手工在接线板上设定完成之。ENIAC的高速计算能力远胜于过去机械方式,可以在一秒钟内做5,000个加法或357个十位数的乘法运算。除了用来计算弹道外,ENIAC也用于发展原子弹的计算。传说这部机器一运转,费城(Philadelphia)西区的灯光会变暗。维持此机器正常连转着实不易,大约每2天就有1个真空管故障。ENIAC服役10年后,于1955年10日月2日正式退役。1945年,ENIAC升级改善,增加程序储存的功能(Stored-Program),命名为EDVAC。Eckert发明一种特殊存储器「水银音波延迟线」(Mercury Delay Line Memory),同时储存数据(Data)及程序(Program)。这是一个创新做法。此时数学奇才John von Neumann正于宾州大学担任顾问,参与EDVAC计划的相关讨论。von Neumann写了一份EDVAC的内部报告《First Draft of a Report on the EDVAC》。因为von Neumann是超级大牌人物,Goldstein将这份报告送到和von Neumann往来的军事单位,以宣传EDVAC计划的卓越。问题是,Goldstein刻意将报告中提到Mauchly和Eckert的部分删除(大概嫌他们不够大牌)。读到这份von Neumann报告的人,对于报告中EDVAC这种创新的计算机架构都大感惊艳,称之为「von Neumann Architecture」。现代计算机的设计几乎都遵循von Neumann Architecture。例如剑桥大学的Maurice Vincent Wilkes,根据这份报告造出第一部储存程序的计算机EDSAC(Electronic Delay Storage Automatic Calculator)。Mauchly和Eckert吃了闷亏,未能得到应有的功劳。von Neumann非掠夺之人,从未宣称他是这个架构的发明人。Mauchly一直活跃于电脑界,是ACM(Association for Computing Machinery)共同发起人,后来并成为ACM的总裁。我因为信息技术(Information Technology)贡献,有幸于2003年被选为ACM会士(Fellow),为全球第十七位华人获此殊荣者,深感荣幸。
半导体产业奖励促进条例:适用阶段与效果 (一)
全球供应链重组的过程中,提供许多供应链国家的内部半导体的市场。做为系统制造最关键的零组件半导体元件,系统制造厂所在地的国家无不寻求半导体元件制造的进口替代机会。  在半导体产业根基薄弱的状况下,政府以产业发展奖励促进条例,提高初始投资成功的机率也是势所必然。更何况,从产业发达国家自美、日、韩、德等乃至于产业发展国内家,无不使用各式奖励办法。如果产业发展国内家没有奖励促进条例来弥补产业生态环境的先天不足,于半导体产业的发展恐怕距离会愈来愈远。  一般半导体产业奖励促进条例,包括:税赋减免(tax deduction)、加速折旧(accelerated depreciation)、研发补助金(research and development grants)、财政补贴(financial subsidies)、出口促进计划(export promotion program)、劳动力发展和培训(work force development and training)、知识产权保护(intellectual property protection)、监管支持(regulatory support)、合作研究中心(collaborative research centers)、贸易协议(trade agreements)、投资促进机构(investment promotion agencies)、环保激励措施(environmental incentives)、群聚发展(cluster development)、政府优先采购(government purchase preferences)等诸多措施。除了贸易协议与出口促进计划与因半导体在各国关税均濒于零而较少见诸实施外,其他的措施在台湾半导体产业发展过程中的各阶段几乎都发挥过其作用。 对处于半导体产业发展初期的国家,监管支持和群聚发展最好由政府直接介入、投资。监管支持是指单一的行政窗口以流水线的方式涵盖所有的行政处理作业。这个措施牵涉到法规的修订和机构的设立,都需要公权力的直接介入;群聚效应则需要足以供产业群聚的大片土地以及相关的基础建设—没有公权力的支持,即使有资金投入也是寸步难行。 台湾过去发展的历史是以科学园区与科学园区管理局(以下简称科管局)一并解决产业群聚以及监管支持的问题,其中自然有法源依据。但是徒法不足以自行,能让其动起来的自然是政府先期的投资。有些国家想单靠奖励条例来促成群聚效应,这恐怕是条艰难的旅程。 科管局是园区所有涉政府业务接洽的单一窗口,而且直属中央政府。在行政效率、政策的统以及行政清廉的监管上有较佳的表现。如果没有这单一的窗口,投资的不确定性便会大幅增加。 讲一个实例。原先科管局的确统一管理所有园区中,业者须与政府接洽办理的所有相关业务,但是后来环保法规立法之后,没有将园区的环保业务也拨归科管局一并管理。有一年新竹市政府向园区厂商募款以改造城区,不久之后突然向园区厂商发动环保检查,裁罚30几家厂商,而这些处罚有很多是有高度争议性的。罚款的金额对于园区的厂商是可以容受的,但是高科技厂商需要持续的资金投入,向资本市场募资是经营常态。募资时的必要档案公开说明书(prospectus)中如果附记环保事件,对于募资活动将造成极大的困难。特别是在欧、美资金市场,环保事件代表极大的经营风险—可能面临政府处罚以及民事甚至是刑事诉讼。后来解决方式自然是立法修改,将环保的监管权也并入科管局,维持单一窗口的监管体制,避免多重监管单位所造成的复杂经营风险。