智能应用 影音
EVmember
Event
林育中
  • DIGITIMES顾问
现为DIGITIMES顾问,1988年获物理学博士学位,任教于中央大学,后转往科技产业发展。曾任茂德科技董事及副总、普天茂德科技总经理、康帝科技总经理等职位。曾于 Taiwan Semicon 任谘询委员,主持黄光论坛。2001~2002 获选为台湾半导体产业协会监事、监事长。
全面散热(二)
量子电脑有机会成为终极的散热问题解决方案。Richard Feynman最原始的概念是以量子的方法解决量子问题,首先讲究的是效能。现在耗电最凶的人工智能(AI)服务器相关应用,在量子电脑上也都有其相应的量子AI演算法,速度相对于现有的传统AI运算都是平方加速(quadratic speedup)、甚至是指数加速(exponential speedup)。量子电脑计算速度快自然耗能小,逸出的废热就更少。这是量子计算于散热问题上的第一重好处。  Feynman第二篇谈论量子计算的文献主题,是量子计算是可逆的(reversible),这是与散热直接相关的议题。  传统的二进位逻辑闸运算,譬如AND gate,输入有2个位元,但是输出只有1个位元,也就是说传统的二进位计算过程可能会丧失信息,而丧失信息意味着熵值增加,这就是废热的来源。  量子计算的操作基本上是以微波来控制、转变量子位元的状态(state),计算起始的量子位元数目与计算完成的量子位元数目是一样的,因此没有信息的丧失。量子计算的可逆性基本上是说如果从计算完成的量子位元反着步骤计算,可以回复出起始的量子位元状态。这种可逆性只存在于熵值不增加的计算过程中。也就是说,先姑且不论量子计算的周边线路和冷却需求所可能产生的废热,量子计算的核心部分理论上是不会生废热的。这是量子计算于散热问题上的第二重好处。  量子计算另一个优点较少被提到:量子计算也是存储器计算。所有的量子计算都在停留在一组量子位元上反复操作,毋需将信息挪动到缓存存储器(buffer memory)上—其实目前也没有量子存储器可用。量子位元本身既是处理器,也是存储器本身,这就是存储器计算,自然不会产生搬运信息产生的焦耳热,绝大部分的量子位元属于此一类型。  唯一的例外是光子量子位元。光子在运算时的确会在矽光子的模块上处理,信息的确会在光源和传感器中被传输。但是如上文矽光子一段所述,光子的传输理论上也不会生焦耳热的。因此目前困扰半导体业的焦耳热问题,在量子计算的过程中只存在于其周边线路,并不构成主要问题。 这是量子计算于散热问题上的第三重好处。  半导体发展迄今,摩尔定律的推进以及先进封装的应用,持续增益芯片系统的效能。但由于单位时间内所处理的数据量益发庞大,而芯片的集积度亦同时大幅提高,散热效率提升的需求更加迫切,从芯片、模块、系统各层次的散热方式必须同时于设计时就开始考虑。可以考虑的空间包括线路设计、材料使用、封装方式、外加的散热机制(水冷式封装就是这样进场的!)等,乃至变更基础的计算架构与原理。  废热处理已成计算设备各层级工程的共同瓶颈,我们需要散热总动员!
2023/12/11
全面散热(一)
量子电脑、存储器计算(in-memory computing)、矽光子、铜混合键合(copper hybrid bonding)、氮化铝基板/晶圆、氮化矽基板/晶圆等,这些新技术有什麽共通点?  在进入详细讨论之前,我们先退一步看半导体过去的发展考虑。成本、效能、功耗等3个面向一直是半导体过去技术发展的主轴。成本以前靠制程微缩和良率提升,效能提升也靠微缩。功耗问题面向较为多样化,节省能耗基本上靠降电压、使用低电阻材料和设计优化等,处理废热的手段就更复杂了。  上述3个面向的进展需要有权衡的考虑—工程一向是综合效能的权衡问题。资深的电脑使用者应该记得过去有一段时间的个人电脑中装有风扇,也就是说当时要求CPU效能的大幅迈进,迫使散热手段必须升级,外延到在系统层级另外加风扇气冷的手段。之后CPU的线路设计业界有个默契,控制CPU发热在单靠IC自然气冷散热就足以应付的程度,恼人的风扇声就暂时从办公桌上消失。  芯片中的能耗机制主要有2种:一种是晶体管开关的能耗。目前一个状态切换(switch)的能耗大概是在飞焦耳(femto joule)的数量级;另外一种是焦耳热(joule heat),就是电子流经金属连线因为电阻所产生的废热。由于金属连线的宽度在制程长年的微缩下变得愈来愈细,电阻不容易再下降,芯片的效能又愈来愈高、传送的信息愈来愈多。焦耳热在目前的von Neumann计算架构下是热耗散的主要源头。  废热如果无法及时排出,可能会使芯片、系统失效甚或损毁。解决的源头自然是从降低能量使用开始,然后才是排放废热的处理。  散热的手段有3种:辐射、传导和对流。辐射的功率正比于温度的四次方,对于芯片这样的低温,辐射的散热效率是远远不够的,所以半导体或电子系统的散热方法通常是传导和对流的结合。  氮化铝和氮化矽都是半导体业界所熟悉的材料,现在也用做散热材料。氮化铝的导热系数高;氮化矽的导热系数虽然稍低,但是其他机械特性如强度和断裂韧性都很高,综合性能最佳。这二者目前都已制成陶瓷基板/晶圆,用于功率模块的隔热板、或做为外延(epitaxy)功率元件的晶圆基底。这是以高导热率材料来散热的办法。如果需要的话,在基板/晶圆底下还可以用活性金属钎焊法(Active Metal Brazing;AMB)加上一层铜,提高散热效率。  更积极些的办法是减少电源及信号传导所发出的焦耳热。 矽光子是以光信号来替代电信号。理论上光信号的传导是不耗能的,自然也不会有废热,可以极大程度的避免焦耳热的产生。这是为什麽矽光子预计在2025年会进入量产的主要动力之一—人工智能诱发的大量计算,使得现有的电信号传送方式快要让功耗和散热难以负荷。 3D封装中的铜混合键合让数个异构(heterogeneous)芯片间原有的金属连线,变成堆叠芯片上重分布层(Redistribution Layer;RDL)的直接对口铜金属键合,大幅缩短芯片之间原先金属连线的长度,所以焦耳热是降低了。但是3D封装也大幅提升芯片的集积度,使得原本已然艰难的散热问题更加恶化。譬如原先用2.5D封装的高带宽存储器(High Bandwidth Memory;HBM)与CPU/GPU,在改为3D封装之后,居于最底层的逻辑芯片由于上层的存储器芯片层数增加,势必要处理更多的数据运算,因此散热的负担更加沉重,这就是进行式的挑战。  存储器计算的想法更为激进。如果电脑依照von Neumann架构运作,数据必须在CPU与存储器间反覆传递,这是焦耳热产生的最主要原因,那就乾脆把二者合并为一,就没有两个芯片间相互传送的问题。这不算是原始创意,因为人脑就是这麽运作的。只是这方向的研究还在摸索中。
2023/12/4
纳米压印的初始应用 (二):近期市场
Canon的FPA-1200NZ2C纳米压印机这个型号,其实最晚在2015就已出现在相关的学术期刊上了。已经出现8年的旧机型能够重新上新闻并且吸引注意,最主要的原因在于它将要进入比较大范围的半导体量产应用。  延伸报导名人讲堂:纳米压印的初始应用 (一):技术与挑战纳米压印有2个特性可以有效地拓展它的应用范围。第一,是它不仅适用于2D图形的打印,而且有些3D图形也可以用单一模板来转移线路图形,有效的简化制程。另外一个特性,是纳米压印可以用于任何基板,不只是适用于硅片上。  这2个特性让纳米压印已经开始被应用于一些次领域,譬如生物传感器等。只是这些领域的产值相对较小,未能获得充分关注。  这次新闻受到较多关注的原因,是纳米压印要进入主流半导体制程行列,而且时程明确。  铠侠(Kioxia;原东芝存储器)与SK海力士(SK Hynix)将于2025年开始,以纳米压印技术生产3D NAND Flash。NAND在很长一段时间内是市占率仅次于DRAM的半导体产品类别,纳米压印进入大宗产品的制程行列,意义非凡。  东芝(Toshiba)于2004年就开始以纳米压印试产NAND,目前与Canon和大日本(Dai Nippon)等公司为共同推动建立纳米压印技术生态的主力成员。SK海力士与铠侠素有各式的市场、技术合作,同时宣布采用纳米压印技术也在情理之中。  NAND可以率先采用纳米压印有其技术上的理由:NAND是存储器阵列。一般存储器阵列线路图形高度重复,基础单元结构相对简单。最重要的是其容量设计可以留有冗余(redundancy),如果制造过程中有局部线路图形产生缺陷,可以用硬件方法融断(fuse)受损部分,以原先预留的冗余部分替代,晶圆整体良率可以维持在较高水准。  如果纳米压印要应用到DRAM,缺陷密度的要求也一样可以较为宽容。但是DRAM底部有很稠密的晶体管触点(contact),因此上下层间的对准就变得格外重要,以前纳米微影机的技术规格尚达不到量产的要求,需要再改善覆盖后才谈得到DRAM的应用。至于逻辑芯片,由于线路中大多不是重复的图形,比较少有冗余设计的可能,对于粒子或缺陷极为敏感。目前的纳米微影机仍需降低粒子和缺陷才有办法跨入逻辑芯片的制造应用。  另一个比较有期待的领域是矽光子。纳米压印在转印线路图形时的线边缘粗糙度(line-edge roughness)的表现优于曝光机的表现,因为没有光的干涉、光阻蚀刻等问题,这使得光子在通过这些以纳米压印制造的光元件时,表现更符合原设计的预期效能,而且一般光学元件制造层数较少,层间覆盖的问题没有那麽尖锐。另外,光学元件很多是3D图形的,这正是纳米压印的强项之一。  矽光子还有另外一个机缘。原先在异质整合路线图(Heterogeneous Integration Roadmap;HIR)中计划于2020年矽光子就会出现在异质整合芯片市场中,但是实际上被延迟了。由于人工智能(AI)应用的兴起,大量数据移动的需求要以光的形式来实现,台积电就宣布在2025年开始矽光子的量产。  半导体产业的逻辑,总是会将机器设备的价值利用到最后一刻,善用原始的巨大投资,所以对新设备的引进就有潜在的利用障碍。但是对于新建的产线或厂,只要事前有足够的生产验证,大规模的采用新设备比较有机会。纳米压印恰好于此时较明显的出现在产业的视野之内,不能不说是风生水起的机缘了! 
2023/11/23
纳米压印的初始应用 (一):技术与挑战
最近Canon发布可以达5纳米制程节点的纳米压印机FPA-1200NZ2C 。纳米压印是半导体制造中将线路设计图案转印到晶圆的方法之一,另一个为人熟知、也是目前产业界中用以量产的主流方法是曝光机。  纳米压印的方法其实很简单,就像用木模板转印图案到红龟粿上一样。红龟粿模板是阴刻,1:1的将龟的图案压在煮熟的糯米粉团上,压印后的图案是阳刻的。这其中没有像曝光程序中牵涉到光源、光学系统、感光、显影、蚀刻等复杂的过程以及精密昂贵的设备,所以晶圆处理程序价格相对较低似乎是理所当然。  关键的技术是压印模板的制造,以及前文中以糯米粉团所比拟的高分子树脂(polymer resist)及整个压印过程。压印模板与欲转印的图型是1:1,所以在制造模板时要有至少与在晶圆上欲转印的图案一样精细的分辨率,这用来塑造模板图样的工具自然是电子束(electron beam)。电子束是半导体业用来在光罩上形塑线路图样的主要工具。  电子的德布罗意(de Broglie)波长是0.08纳米,也就是说电子束理论上的分辨率就是在这数量级。对于任何目的的刻画,这都远超过所需要的精度—这比原子都小!  问题是被电子束用来呈像的物质会与电子发生作用,因此电子束刻画的分辨率极大程度的依赖于使用的物质。目前电子束的分辨率大约在5~10纳米左右,这对于5纳米制程实际的临界尺寸(critical dimension)14纳米便够了。纳米压印还预告未来可以推进到2纳米制程节点,它实际的临界尺寸是10纳米,也还在目前电子束分辨率可触及的范围之内。  以电子束刻画的模版是母板(master plate),接下来就是大量复制。说「大量」一点也不夸张,因为目前纳米压印机每小时产量(throughput)就只有100片上下—这大概只比EUV刚推出时的产量稍高,而模板可以使用的次数在几千次的数量级,大概是几天就得更换。  在纳米压印之前,基板需先滴有高分子树脂(polymer resist),与基板上粘合层(adhesion layer)充分ˇ浸润(wetting)。之后就是将模板压在布满高分子树脂的晶圆,藉压力及毛细现象让树脂延伸入模板图形之中。然后用紫外光固化(UV curing)树脂,取下模板。  纳米压印过去技术发展的挑战和上述的压印程序和使用的物质有直接的关系。过去的几大挑战分别为覆盖(overlay)、产量、缺陷率(defectivity)和粒子。  覆盖是指元件上下不同层间结构的对齐问题,在纳米压印制程中会产生覆盖问题的原因之一是压印过程中树脂被压印而扭曲或变形,以致于上下层之间的相应结构无法对齐。此为纳米压印过去在技术上常被诟病的地方。 延伸报导Canon新NIL系统成本优势 有利芯片制造大众化发展又譬如纳米压印的产量其实取决于树脂滴(resist drop)的大小、扩散速度以及跟基板粘合层的浸润速度,此基本上是材料特性的问题。  这些问题在过去发展的30余年间主要由物质的改善以及一些辅助的机制,譬如上下层对准校正等,这些问题获得相当程度的改善,纳米压印因而逐渐步入量产制程的行列。 (作者为DIGITIMES顾问)
2023/11/16
半导体产业奖励促进条例:适用阶段与效果(三)
研究补助金与合作研发中心都是针对半导体技术研究与发展的现金补助,与以税赋减免的方式来奖励企业的技术研发不同。政府可以扮演更积极的角色,执行方式也各有变形。 研究补助金最着名的成功案例之一是曝光机光源的研究。此计划经费的来源是国防高等研究计划署(Defense Advanced Research Projects Agency;DARPA),90年代半导体产业在寻求下时代曝光机光源的过程中最终选择EUV,研发后技术移转,最后在ASML手中经历20年发展终于完成量产,在目前及未来的继续微缩之路独挑大梁。 但是这种大型的基础科技研发计划对于处于发展初期的产业和企业并无太大帮助,也没有办法执行。能够按部就班的丰富产业生态、增加企业存活率者,多是短期产品开发或技术的应用发展类型的计划。  合作研发中心的例子如台湾的工研院,或台湾半导体研究中心。  除了提供技术服务、研究合作、仪器分享、产学合作等预期中的功能外,这类机构还可以有其他至少两样重要的功能:蕴育新创,和企业联手攻关。  在资金环境相对友善的情况下,所研发的接近量产阶段技术,及其相关的研发人员,可以分立(spin off)出新创,使得半导体产业的生态环境变得更丰饶。这原是工研院设立当时的初衷之一。在台韩长期竞争的历程中,这些由研发机构分立出来的新创成为台韩产业发展成截然不同风貌的主要原因之一。这也是在新兴国家产业发展之初就可以采取的措施。 另一个措施在产业发展到一定阶段才能发挥作用。当半导体企业能够成功存活下来,下一个重要的关卡在于如何从营业盈余中产生足够的经费支持独立的研发。政府的所有研发经费补助其实都是在协助企业解决研发规模经济不足的问题。  从接受政府补助到能够支持自主独立研发的过渡期间,企业联合研发可能是较好的方案之一,譬如当初的IST(IBM-Siemens-Toshiba)联盟共同研发DRAM技术。合作研发中心正好可以当成此种研发联盟的平台。 人力资源短缺的问题发生于有半导体产业的几乎每个国家的每个阶段,原因各有不同。处于产业发展初期的国家大概都是因为缺少产业历史因而没有足够有经验的从业人员;而处于产业发展后期的国家有可能是人口基础已经开始下降,如东亚诸国,或者是产业在其国内薪资的相对竞争力不足。人力资源问题政府必须介入,因为牵涉到公权力相关事宜,如移民政策、教育、劳工等,是以劳动力发展和培训必须要成为半导体发展政策的一部分。 值得注意的是对于高级人力资源的养成方法。现在的教育体制有半导体专业化的趋势,譬如半导体学院或微电子研究所。回顾以前半导体的发展历程之中,虽然工程人员以电机背景居多,但是其他理工背景如材料、化工、机械、资工、物理、化学等的也不在少数。现在的半导体的加值轴线,也已经从单一的制程微缩走向多面向,譬如新材料开发的碳化矽、氮化镓,以及先进封装等。这些新方向的开发需要有各类基础科学的支持。接受传统半导体技术教育的无疑比较专精,因而能立即投入生产。但是对于未来半导体的发展、创新是否有利则是大有疑问。政府于劳动力发展和培训的制订必须依发展阶段慎重考虑。  最后要提醒,各类的奖励补贴政策订定时也要考虑国际市场的规矩。WTO订有「补贴与反补贴措施协定」(Agreement on Subsidies and Countervailing Measures;ASCM),禁止特定的补贴行为。譬如第三条(Article 3)中禁止出口补助或优先采购本国产产品,虽然此协议对发展国内家有特别的弹性与考虑。另外,各国亦有反倾销法律用以对付受政府过度补助的不公平贸易兢争。  虽然WTO现今对于全球贸易秩序的规范能力已不如当初设立之时,主权国家的行为也不受法律的管辖,但是上述规范的惩处最终会落在接受补贴的个别企业或产业上,订定产业奖励促进条例时要先将这些后果考虑清楚。
2023/11/13
半导体产业奖励促进条例:适用阶段与效果 (二)
税赋减免、加速折旧、研发补助金、财政补贴等,都是以财税的形式补贴半导体产业的投资、设备购买、研究发展所需。 税赋减免一般是从企业营业所得税中减免一定百分比的已投资、设备购买或研发经费,这是一般政府比较喜爱采用的奖励形式。原因是政府给的补助经费其实是企业发展成功后自行创造出来的,是个无中生有的办法。但是这个办法比较适用的产业发展阶段,是产业稍为有立足之地、能加入国际竞争行列的阶段之后。对于甫开展半导体产业国家中的初始企业,既无法减轻投资的负担,也无法增加短期内其生存的机率。毕竟营所税的减免只适用于企业已有盈余产生的状况,而一般半导体制造厂规划的盈余年度至少在公司开始设立厂房的第五年后,能准时达标的已算是其中佼佼者。 因为对起始的企业帮助不大,企业可能以变通的方法来利用。举个有趣的实例。有一个国家对于半导体设备投资有营所税减免的奖励,但是如前所述,这是一笔看的到、吃不到的奖励。于是,半导体企业与金融机构联手「协作」,由金融机构购买半导体设备后租赁给半导体企业使用。由于金融机构是赚钱的企业,一刚开始就可以使用投资半导体设备的营所税减免。从政府所取得的部分税赋减免金额则以降低设备租赁金额的方式,回馈给半导体企业。政府补助半导体产业的意图由于奖励方法的不恰当而被迫部分流向他处;而半导体企业生产设备以租赁的方式租用通常是最不得已的最后手段,好似典当变现。这个国家最终落得12寸厂完全消声匿迹。订定税赋减免条例必须能够精准规范其最终受惠对象。加速折旧容许企业在较短的时间内将设备折旧完毕。譬如台湾的半导体制造设备会计上折旧年限一般是5年,加速折旧就譬如说在3年内折旧完毕,只留残值。这样一来,由于在前三年内折旧金额较高、帐面上的生产成本较高,盈利因而较少,需要缴交的营所税较少。其所提供的实质好处就是让企业能从「未来」调动现金流到现在,能够提前投入资金于扩充产能或研发。这个办法比较适用于长期有盈余、量产主要依赖于少数领先制程的公司,譬如过去DRAM扮演先进制程推手(technology driver)次产业时的三星电子(Samsung Electronics)。对于存活是首要任务的新进公司,这可不是实惠,也用不着、不敢用。加速折旧必然的会扩大初期的营运亏损,其所显示的营运结果会令潜在投资者为之却步。 财政补贴是真金白银,要编列在政府预算之中。对政府是结结实实的现金投入;接受者也是实在的优惠。半导体产业发展初期产业环境欠佳、进入障碍高,现金补助可以有效降低投资风险,降低进入障碍,这是对产业发展初期最有效的补助手段。然而,由于这是政府真实的投入,所以一般财政补贴会綑绑许多附加条件。除了对于投资者的资格审查外,一般也附有一些条件,譬如对就业机会的保障—特别是欧洲国家。 用现金的补助虽然要比较严格的规范补助标的,但是以达到特定技术门槛才给予补助可能不是一个好手段。半导体发展是一个漫长的过程,在产业发展初期能够让企业存活、进入经营良性循环、丰富产业生态才是比较务实的发展策略。
2023/11/6
半导体产业奖励促进条例:适用阶段与效果 (一)
全球供应链重组的过程中,提供许多供应链国家的内部半导体的市场。做为系统制造最关键的零组件半导体元件,系统制造厂所在地的国家无不寻求半导体元件制造的进口替代机会。  在半导体产业根基薄弱的状况下,政府以产业发展奖励促进条例,提高初始投资成功的机率也是势所必然。更何况,从产业发达国家自美、日、韩、德等乃至于产业发展国内家,无不使用各式奖励办法。如果产业发展国内家没有奖励促进条例来弥补产业生态环境的先天不足,于半导体产业的发展恐怕距离会愈来愈远。  一般半导体产业奖励促进条例,包括:税赋减免(tax deduction)、加速折旧(accelerated depreciation)、研发补助金(research and development grants)、财政补贴(financial subsidies)、出口促进计划(export promotion program)、劳动力发展和培训(work force development and training)、知识产权保护(intellectual property protection)、监管支持(regulatory support)、合作研究中心(collaborative research centers)、贸易协议(trade agreements)、投资促进机构(investment promotion agencies)、环保激励措施(environmental incentives)、群聚发展(cluster development)、政府优先采购(government purchase preferences)等诸多措施。除了贸易协议与出口促进计划与因半导体在各国关税均濒于零而较少见诸实施外,其他的措施在台湾半导体产业发展过程中的各阶段几乎都发挥过其作用。 对处于半导体产业发展初期的国家,监管支持和群聚发展最好由政府直接介入、投资。监管支持是指单一的行政窗口以流水线的方式涵盖所有的行政处理作业。这个措施牵涉到法规的修订和机构的设立,都需要公权力的直接介入;群聚效应则需要足以供产业群聚的大片土地以及相关的基础建设—没有公权力的支持,即使有资金投入也是寸步难行。 台湾过去发展的历史是以科学园区与科学园区管理局(以下简称科管局)一并解决产业群聚以及监管支持的问题,其中自然有法源依据。但是徒法不足以自行,能让其动起来的自然是政府先期的投资。有些国家想单靠奖励条例来促成群聚效应,这恐怕是条艰难的旅程。 科管局是园区所有涉政府业务接洽的单一窗口,而且直属中央政府。在行政效率、政策的统以及行政清廉的监管上有较佳的表现。如果没有这单一的窗口,投资的不确定性便会大幅增加。 讲一个实例。原先科管局的确统一管理所有园区中,业者须与政府接洽办理的所有相关业务,但是后来环保法规立法之后,没有将园区的环保业务也拨归科管局一并管理。有一年新竹市政府向园区厂商募款以改造城区,不久之后突然向园区厂商发动环保检查,裁罚30几家厂商,而这些处罚有很多是有高度争议性的。罚款的金额对于园区的厂商是可以容受的,但是高科技厂商需要持续的资金投入,向资本市场募资是经营常态。募资时的必要档案公开说明书(prospectus)中如果附记环保事件,对于募资活动将造成极大的困难。特别是在欧、美资金市场,环保事件代表极大的经营风险—可能面临政府处罚以及民事甚至是刑事诉讼。后来解决方式自然是立法修改,将环保的监管权也并入科管局,维持单一窗口的监管体制,避免多重监管单位所造成的复杂经营风险。 
2023/10/30
量子点以及其应用
2023年诺贝尔化学奖,颁授予Moungi G. Bawendi、Louis E. Brus以及Alexei I. Ekimov,表彰他们在1980年代发现和合成量子点(for the discovery and synthesis of quantum dots)。  大概念来说,量子点是人工制造的「原子」(artificial atom)。  20世纪迄今,人类文明发展大幅度的依赖于电磁学,包括它所涵盖的电荷、磁、自旋、电磁波等诸种现象。对于用于承载、操控这些现象的物质,我们对其了解的基础知识是量子力学以及电磁学。人类对于这些性质的应用,大都是顺从自然的安排。譬如矽的带隙能量(energy gap)为1.12 eV,集成电路的栅极电压就设为比这数值稍高,用以开关晶体管。又譬如DUV雷射光源的氟化氩(ArF;Argon Fluoride)分子的能阶间隙是6.42 eV,所以ArF DUV曝光机对应的波长就是193纳米。换句话说,人类虽然开始掌握关于物质的部分知识,但是对于这些知识的应用,人类过去大致上是听从自然的安排,至少在那些物质的特性参数是如此的。 如果我们想「设计」物质的基础特性呢?譬如它的光、电荷、自旋等性质时呢?我们用以建构的基础单元—也就是类似乐高的积木块—仍旧是自然的原子及其形成的分子,只不过这次要使用基础单元数目要多得多,1个量子点可能要使用100~10,000个原分子来建构,这样制造出来的量子点大小直径在数纳米至100纳米之间。我们的付出的代价是较复杂的制作程序,以及较为庞大的单元尺寸;收益是可控、可设计的量子点的光、电、自旋等特性。这些特性可以藉由量子点的大小、组成材料、形状等来调整其内部能阶,而能阶正是物质的量子特性之一,是以名之。 可以设计出人工原子,自然也可以设计出人工分子、乃至于超晶格(superlattice)等更大尺度的结构。 量子点的制作材料过去以II-IV族、III-V族为主,譬如硫化铅(PbS)、硒化铅(SePb)、硫化镉(CdS)、硒化镉(CdSe)、碲化镉(CdTe)、砷化铟(InAs)、磷化铟(InP)等。  但是II-IV族量子点多含重金属,譬如镉与铅,对环境相当不友善,所以显示器中的量子点目前正转向III-V族的量子点,譬如磷化铟(InP)、硫化铜铟(CuInS)等。而III-V族量子点如当成生物中的体内(in vivo)当传感器或成像使用,可能有毒性或致癌,因此目前正寻找其他材质如矽、碳等,或者加以表面修饰(surface modification)以制作安全的量子点。  量子点的应用非常广泛,包括显示器、单电子晶体管(SET;Single Electron Transistor)、太阳能电池(solar cell)、LED、雷射、单光子光源(single-photon sources)、二次谐波生成(second-harmonics generation)、量子点量子位元(quantum dot qubits)、生医研究里的传感器及成像(imaging)等。  量子点显示器已经商业量产,制造方法与LCD差不多,只不过LCD中用来当背光(backlit)白光LED改为蓝光的量子点。制作流程先是在基板上以有机金属化学气相沈积法(Metal Organic Chemical Vapor Deposition;MOCVD)制作蓝光量子点,于这层之上制造并排的绿光量子点及红光量子点当彩色滤光片,另外留一处空缺透蓝光,形成RGB三原色像素。  量子点显示器有深黑色(deep blacks)、最佳视角(optimal viewing angle)、原始色彩(pristine colors),较省电、高色彩饱和度(saturation)、较宽色域(wider color gamut),寿命亦较长。目前市场上的竞争对手是OLED,但是未来分辨率再走向8k以后,暂时没有能涵盖如此广泛色域的对手。  量子点的2个前瞻性应用,分别是生物医疗研究与量子计算。  量子点于生物中可以用于成像、标记(label)、运送(delivery)、传感等功能。量子点具有明亮且稳定的萤光,而且可以调整其颜色,还可以附加功能基以锁定特殊标靶。由于其尺寸仅数纳米,不仅微米级的动物细胞可以轻易解析,连尺度与其相当的蛋白质也可以用量子点来标记研究。  一个有趣的应用领域是用来研究脑细胞及功能,这个研究领域又终将回馈到人工智能(AI)、类神经芯片(neuromorphic chips)、脑机界面等竞争激烈的尖端科技新领域。  只是如前所述,量子点于体内毒性的问题需要先澄清并克服。  量子点量子位元是被寄予厚望的量子计算技术,因为它不只是半导体兼容的技术—它本身就是半导体技术。如果原型开发成功,它可以立即利用目前成熟的半导体生产体系快速投入量产。  目前的量子点量子位元是自旋量子元(spin qubit),即量子点中约束1个电子,而且这个电子的自旋的状态可以被操控、测量,当成量子位元使用。  量子点量子位元的技术发展面临的主要挑战,是量子点量子位元之间不易形成量子纠缠,目前可以相互纠缠的量子点量子位元数一只手数得完。不容易被环境干扰的量子位元,也意味着不容易与周遭的量子位元形成量子纠缠。这是典型的工程问题—权衡两难以最佳化。 量子点此次获得诺贝尔化学奖实至而名归。它发现人工原子,使得人类拥有更进一步操控微观世界的能力,它对文明及经济的贡献已经开展在照明及显示器上,而它又可以成为促成其他领域新发现的工具,这些都是典型得奖作品的印记。  
2023/10/23
同步辐射能当曝光机光源吗? (二)
同步辐射所产生的光,是由电场加速带电粒子因而产生辐射产生的光,不像雷射是利用原子天然能阶之间的跃迁(transition)产生的相干光(coherent light),光源波长是可以控制、设计的。同步辐射产生光的波长,从远红外(far infrared)至hard X-ray,大约是10几微米到0.01纳米,这波段已足以处理矽基半导体制程的所有波长需求。高于DUV波长的光就不必讨论了—已有既存成熟的设备,不必再重新发明轮子。可以讨论的是现在EUV波长波段,以及将矽基半导体推向物理极限的几纳米波长的光。用同步辐射做为光源有个明显好处,即为光的亮度充分。只要加速器中心能稳定控制的电流够大—就是电子够多,辐射光的亮度便充分—这个可以立刻解决现在EUV产量不够好的窘境。另一个好处是光源成本的下降。一个同步辐射圆形加速器至少可以有十几、廿个出光口。一个同步辐射加速器要多少经费呢?1980年代台湾开始建造同步辐射时,预算是几千万美元;虽然后来还有追加预算,平均一个光源才数百万美元的成本。对于现在价格动辄上亿美元的曝光机台,这个成本不算是钱。但是同步辐射光源也面临现在EUV因光的能量较高,容易被物质吸收的问题。如果波长更短,问题愈严重。同步辐射在解决高能量光源会被物质吸收的问题上,可用的光径安排方式有几个。第一个,自然是与EUV相同的反射式镜面。但是因为波长不同,材料和镀膜必须要再调适;第二个,还是用透镜,但是透镜材质变成矽或锗,这样也能聚焦X-ray;第三个,是波带片(zone plate),片上有多个不同半径同心圆上的狭缝,X-ray通过波带片产生绕射(diffraction),因而聚焦。以上都是同步辐射既有的光学元件。但是同步辐射是仪器(instrument),而曝光机是量产设备(equipment),二者要求的精度、可靠性、成本等有巨大差距。同步辐射要做为曝光光源有2个大挑战。同步辐射基本上是个圆形加速器,出光口散布在圆周周边,这个格局与现在的晶圆厂的布局(layout)天差地别。如果使用同步辐射,会大幅更动晶圆厂的运作方式,甚至是一些界面规格。这也是当初半导体产业选择使用现在EUV的理由之一。EUV虽大,但是长的方方正正的,而且每部机台独立运作。第二个挑战是维修时的停机时间(downtime)。设备维修,停机理所当然。但是同步辐射加速器的维修会导致每个出光口同时停机,晶圆厂就处于关闭状况。在半导体产业眼中,这是只有在灾难时才会出现的状况。半导体产业的逻辑一般是将既存的技术和设备榨出最后一滴价值,最大幅度的降低设备折旧(depreciation)与技术摊提(amortization)。所以现在曝光机的发展主流是尽其所能的提高EUV的亮度以及分辨率,并且佐以其他非曝光手段如纳米压印(nanoimprint)与定向自组装(DSA;Directed Self-Assembly)等方法,以期能够支撑到矽基精细元件的物理极限。如果最终还是需要同步辐射来推进分辨率,是否花巨大的研发经费来开发,只供一、两个技术节点使用?这对于半导体产业将是个很艰难的抉择。
2023/10/16
同步辐射能当曝光机光源吗? (一)
这是近日网传的议题,只是国内官方已经出面正式否认。这座高能同步辐射光子源(High Energy Photon Source;HEPS)是位于北京怀柔的中科院高能所正在兴建中的第四代同步辐射装置(Synchrotron Radiation Facility)。中科院高能所于1984年开始在北京玉泉路兴建第一代装置,以后迭有升级。这已经是近40年前的旧事了。同步辐射是高能物理实验仪器的另类应用。原先的应用是利用电场加速电子,利用磁铁弯曲电子行径,并依圆形轨道运行。加速后的带电粒子对撞生基本粒子,主要是魅夸克(charm quark)。由于带电粒子被加速时会放出电磁波—也就是光,同步辐射装置也可以利用这些光探测材料及生物结构,这是目前的几个应用范畴。但是现在谈及要被应用于半导体制程中曝光机(lithography equipment)的光源了。考虑用同步辐射来当曝光机光源绝对不是新鲜事,X-ray光阻早在80年代就是研究的题目。90年代业界在考虑未来半导体曝光机的光源时,EUV和同步辐射都是曾被考虑的方方向。当初美国国防部高等研究计划署(DARPA)选择EUV,但是也有其他公司选择同步辐射,譬如IBM。在重新检视同步辐射是否适合当曝光机光源时,让我们简单回顾一下EUV的几个特性。EUV一般是指波长于121~10纳米的光,波长再短就是X-ray了。在EUV波长区域,并没有天然的材料与机制可以产生雷射光,现行的13.5纳米 EUV是以二氧化碳雷射照射掉落的锡液滴(tin drop)所激发的次级光源。由于EUV光的产生程序复杂,光的频率集中的程度远不如使用雷射光源的DUV,亮度(luminosity)也远远不如。亮度不足,曝光时间就需要较长,影响曝光机产出(throughput)。由于EUV光的能量较DUV高,容易与物质—特别是传统的透镜(lens)材料—发生反应而被吸收,光的传递依赖于多个有多层镀膜(multi-layer coating)的反射镜(reflection mirror)组成光径(optical path)并聚焦。对于半导体产业而言,这是一个全新的光学系统,这也说明为什麽EUV要发展20余年,最终才得以商业化的原因。即使用反射镜来建立光径,垂直镜面入射的光线仍然会被部分吸收。因此,光线最好以与镜面垂直线倾斜6、7度的角度入射。由于这个倾斜入射角,整个光学系统的数值孔径(NA;numerical aperture)就比较难极大化,目前的EUV其NA=0.33,与DUV的NA可以高达1.2、1.3存在巨大的差距。而数值孔径与分辨率(resolution)成正比。这是个关键的光学特性。由于目前EUV波长已经一口气推进到接近X-ray波长的上限,再要缩短波长恐怕要用新的物理机制产生新的光源—那可能是另一段20年艰苦的研发旅程,所以目前产业界的努力都集中2个面向,增加NA和增加产出。增加产出是个多面向的工作,包括增加光源的亮度、改变光阻的化学组成等;增加NA可以在不必缩短波长的状况下增加分辨率,目前的计划是从NA=0.33增加为0.55。以目前13.5纳米波长的EUV大概能做到哪个技术节点?这点是整个半导体产业共同的关心。当初在讨论DUV之后的曝光机光源时,当时已有摩尔定律已日暮的感觉,虽然之后又奋力推进这麽多年。理论上,一个光源的分辨率大概在光源的半波长。譬如第二代DUV ArF(argon fluoride)的波长是193纳米,理论分辨率就只有96.5纳米。但是透过多重曝光(multiple exposure)、过刻(over etch)、相位移(phase shift)以及浸润(immersion)在水中改变光的折射率(refraction index)等工程手段,193 纳米 DUV目前可以处理到7纳米的节点,问题是波长13.5纳米的EUV可以推进到哪一个技术节点?要注意的是现在逻辑制程的节点与早年以晶体管实际的通道长度(channel length)为命名已有所有不同,7、5纳米的通道长度在10纳米以上。目前节点是以1个晶体的总体表现,如速度、功率、热耗散、面积等因素来命名。这问题可以从问题的另一端来思考。如果精细结构装置仍然以矽晶为基础、以电磁学为控制手段,那麽矽基元件(silicon-based devise)的最小尺寸是可以粗估的。矽的共价键长度为0.111纳米。要组织一个元件的功能部分(silicon-based devise)—譬如通道—至少要有几十个原子的内部,要不然物质表面的性质可能就会影响物质内部应有的性质,因而影响元件预计的工作特性。几十个的矽原子就是几纳米的长度了,离现有的EUV的理论分辨率尺度并不远,这也是当初产业界一口气将波长推进至13.5纳米的考虑。如果对原分子的控制可以更精细、物质的表面性质可以被精确掌控,因而使用较少的矽原子也可以构成有效元件,这时在半导体制程演化至物理的自然极限前,光源的波长还留有一个小窗口,这个窗口的候选人之一就是同步辐射的光。
2023/10/11