如何克服更高难度的IC设计挑战?Ansys为您揭秘! 智能应用 影音
hotspot
member

如何克服更高难度的IC设计挑战?Ansys为您揭秘!

  • 刘中兴台北

为了在芯片中整合更多功能,满足市场跟客户的期待,IC 设计团队必须使用更全面的工具及流程,才能开发出更复杂的芯片。但在此同时,制程微缩、芯片堆叠等用来实现芯片功能整合的技术路径,所必须跨越的物理领域及需求也在不断增加。

为确保辛苦设计出来的芯片能在真实世界中如预期般工作,以多重物理模拟工具来验证芯片设计,在整个 IC 设计流程中的重要性与日俱增。作为产品组合完整涵盖光、电、热、磁、结构应力等多重物理模拟跟分析的工具大厂,Ansys 所提供的模拟软件与完整解决方案,已成为 IC 设计团队不可或缺的工具。为协助 IC 设计人员进一步掌握这些工具的使用秘诀,提高生产力,Ansys 将自七月起举办一系列共四场在线专题讲座,分别就「加入 AI/ML 元素的次时代 EDA」、「3D 时代的封装设计与先进制程挑战与解方」、「高速通讯系统」与「车用高可靠度/安全性芯片设计流程」等议题,进行深入剖析。

如何善用最新的机器学习功能及其他软件自动化工具来降低人工作业的负担,将是第一场讲座的核心主题。第二场讲座则会在先进封装跟先进制程的脉络下,深入解析 PI、SI 跟 TI、结构变形等困扰 IC 设计人员的老问题,会面对那些新的挑战,又该如何解决。高速通讯则会专注在高速 SerDes 跟光/电设计共同最佳化,协助设计人员克服高速电子信号所带来的种种挑战。车用高可靠度/安全性芯片设计流程,则是专门针对需要高可靠度,并且对芯片网安、功能安全有严格要求的芯片开发作业而设立的讲座。要开发出这类芯片,不只要掌握相关技术,更要配合特殊的设计流程,方能达成设计目标。

对从事 IC 设计工作的工程师而言,这四场讲座的主题不仅涵盖技术趋势解析,更包含实务上的经验分享,是不可多得的充电机会。敬邀 IC 设计相关人士踊跃参与,报名请点选


关键字